This file is indexed.

/usr/lib/ada/adalib/gnatprj/ali.ali is in libgnatprj4.8-dev 4.8.2-8ubuntu3.

This file is owned by root:root, with mode 0o444.

The actual contents of the file can be viewed below.

  1
  2
  3
  4
  5
  6
  7
  8
  9
 10
 11
 12
 13
 14
 15
 16
 17
 18
 19
 20
 21
 22
 23
 24
 25
 26
 27
 28
 29
 30
 31
 32
 33
 34
 35
 36
 37
 38
 39
 40
 41
 42
 43
 44
 45
 46
 47
 48
 49
 50
 51
 52
 53
 54
 55
 56
 57
 58
 59
 60
 61
 62
 63
 64
 65
 66
 67
 68
 69
 70
 71
 72
 73
 74
 75
 76
 77
 78
 79
 80
 81
 82
 83
 84
 85
 86
 87
 88
 89
 90
 91
 92
 93
 94
 95
 96
 97
 98
 99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
V "GNAT Lib v4.8"
A -nostdinc
A -O2
A -fPIC
A -g
A -gnatn
A -mtune=generic
A -march=x86-64
P SS ZX

RN
RV NO_ALLOCATORS
RV NO_DIRECT_BOOLEAN_OPERATORS
RV NO_ENUMERATION_MAPS
RV NO_EXCEPTION_HANDLERS
RV NO_EXCEPTION_PROPAGATION
RV NO_EXCEPTIONS
RV NO_IMPLICIT_CONDITIONALS
RV NO_IMPLICIT_LOOPS
RV NO_LOCAL_ALLOCATORS
RV NO_RECURSION
RV NO_STANDARD_STORAGE_POOLS
RV NO_IMPLEMENTATION_ATTRIBUTES
RV NO_IMPLEMENTATION_PRAGMAS
RV NO_ELABORATION_CODE
RV NO_OBSOLESCENT_FEATURES
RV SPARK

U ali%b			ali.adb			943e47ba OO PK IU
W ada.exceptions%s	a-except.adb		a-except.ali
W butil%s		butil.adb		butil.ali
W debug%s		debug.adb		debug.ali
W fname%s		fname.adb		fname.ali
W interfaces%s		interfac.ads		interfac.ali
W opt%s			opt.adb			opt.ali
W osint%s		osint.adb		osint.ali
W output%s		output.adb		output.ali
W system%s		system.ads		system.ali
W system.exception_table%s  s-exctab.adb	s-exctab.ali
W system.img_enum_new%s	s-imenne.adb		s-imenne.ali
W system.standard_library%s  s-stalib.adb	s-stalib.ali

U ali%s			ali.ads			87dbbf3e EE OO PK IU
W ada.exceptions%s	a-except.adb		a-except.ali
W casing%s		casing.adb		casing.ali
W gnat%s		gnat.ads		gnat.ali
W gnat.htable%s		g-htable.adb		g-htable.ali
W gnatvsn%s		gnatvsn.adb		gnatvsn.ali
W interfaces%s		interfac.ads		interfac.ali
W namet%s		namet.adb		namet.ali
W rident%s		rident.ads		rident.ali
W system%s		system.ads		system.ali
W table%s		table.adb		table.ali  AD
W types%s		types.adb		types.ali

D ada.ads		20070406091342 3ffc8e18
D a-except.ads		20120716125141 10fcae55
D a-unccon.ads		20070406091342 f9eb8f06
D a-uncdea.ads		20070406091342 f15a5ed1
D ali.ads		20121029110017 1a2e51b1
D ali.adb		20121106112827 758c8b07
D alloc.ads		20120330092659 daf1344a
D butil.ads		20070910124710 e13f5a98
D casing.ads		20100909094434 5c2a7161
D debug.ads		20090420131856 3ea59655
D fname.ads		20090409150019 913fd2bc
D gnat.ads		20110801150502 fd2ad2f1
D g-htable.ads		20111123132251 3d86a91a
D gnatvsn.ads		20130106123711 249b6539
D hostparm.ads		20120315091549 c164ed60
D interfac.ads		20110906094621 129923ea
D namet.ads		20121001081109 ef58bb56
D namet.adb		20101026125643 cbdb009e
D opt.ads		20130206104433 983485a0
D osint.ads		20130206104433 f378f269
D output.ads		20100622132632 de75f4b4
D rident.ads		20120712104910 770cd2d0
D system.ads		20140416144310 90249111
D s-exctab.ads		20090417131547 66e51330
D s-exctab.adb		20090417131547 ba1a43ac
D s-htable.ads		20110902071448 83a84e0e
D s-htable.adb		20120806074345 020b632f
D s-imenne.ads		20130104124955 8707cbf0
D s-memory.ads		20090417131547 ca8bbc15
D s-os_lib.ads		20120709131452 cf6cfdbf
D s-parame.ads		20110830140625 b6e20cc0
D s-rident.ads		20130129143108 f6ad7fbe
D s-secsta.ads		20110830140625 eea35a36
D s-soflin.ads		20120515094619 24c8153c
D s-stache.ads		20090417130712 596fc1b4
D s-stalib.ads		20130104124955 f78bcf95
D s-stoele.ads		20090417130712 facd7d98
D s-stoele.adb		20100617152355 afc5dc80
D s-strhas.ads		20090623093900 269cd894
D s-string.ads		20130104124955 46b01415
D s-traent.ads		20090417130712 5221ee41
D s-unstyp.ads		20090409150019 6ae15c76
D s-wchcon.ads		20090417130712 bfeb2e3d
D table.ads		20090506081141 e3a9ec16
D table.adb		20130104091825 d2a5ae47
D tree_io.ads		20130102100426 30335df9
D types.ads		20130104092849 a33fd65d
D unchconv.ads		20070406091342 ca2f9e18
D unchdeal.ads		20070406091342 214516a4
D widechar.ads		20090409150019 3fd6363b
X 5 ali.ads
39K9*ALI 1075l5 1075e8 6|33b14 2709l5 2709t8
49I9*ALI_Id<integer> 79r25 79r35 82r31 232r30 261r16 722r18 1022r51 6|137r51
. 141r19
52I9*Unit_Id<integer> 112r20 115r19 244r26 244r37 247r32 388r30 624r14 665r14
. 6|1683r37 1715r49 1717r52
55I9*With_Id<integer> 316r20 319r19 538r26 538r37 541r32 579r30
58I9*Arg_Id<integer> 322r19 325r18 593r25 593r35 596r31 601r30 6|144r19
61I9*Sdep_Id<integer> 118r20 121r19 746r26 746r37 749r23 787r30 814r18 868r23
. 890r23 919r23 956r18 6|237r29 239r32 609r29 611r33 641r31 2355r32 2359r32
. 2390r39 2404r30 2460r27 2507r32 2597r30
64I9*Source_Id<integer>
67I9*Interrupt_State_Id<integer> 206r31 207r31 416r30 417r30
70I9*Priority_Specific_Dispatching_Id<integer> 214r36 215r36 450r30 451r30
79i4*No_ALI_Id{49I9} 82r41 6|2681r17
82i4*First_ALI_Entry{49I9} 233r30
85E9*Main_Program_Type 85e48 124r22
85n31*None{85E9} 6|831r41
85n37*Proc{85E9} 6|902r48
85n43*Func{85E9} 6|900r48
88R9*ALIs_Record 222e14 231r30
90i7*Afile{17|417I9} 6|75r46 817m9
93i7*Ofile_Full_Name{17|417I9} 6|834m9
96i7*Sfile{17|417I9} 6|839m9 2153m23
101a7*Ver{string} 6|845m9 877m29
105i7*Ver_Len{natural} 6|846m9 878m29
109b7*SAL_Interface{boolean} 6|838m9 1102m35 1657r60
112i7*First_Unit{52I9} 6|822m9 1626r29 1627m29 2153r61 2157r26 2158r39
115i7*Last_Unit{52I9} 6|827m9 2152m23 2157r56 2159r39
118i7*First_Sdep{61I9} 6|820m9 2210m23
121i7*Last_Sdep{61I9} 6|825m9 2320m23
124e7*Main_Program{85E9} 6|831m9 900m32 902m32
128i7*Main_Priority{47|59I9} 6|829m9 912m35
134i7*Main_CPU{47|59I9} 6|830m9 936m35
140i7*Time_Slice_Value{47|59I9} 6|841m9 920m35
145b7*Allocator_In_Body{boolean} 6|842m9 928m35
149e7*WC_Encoding{character} 6|843m9 943m32
153e7*Locking_Policy{character} 6|828m9 1056m32
159e7*Partition_Elaboration_Policy{character} 6|835m9 1043m32
165e7*Queuing_Policy{character} 6|836m9 1092m32
171e7*Task_Dispatching_Policy{character} 6|840m9 1119m32
178b7*Compile_Errors{boolean} 6|818m9 1031m32
183e7*Float_Format{character} 6|823m9 1050m32
187b7*No_Object{boolean} 6|832m9 1066m35
191b7*Normalize_Scalars{boolean} 6|833m9 1078m35
195b7*Unit_Exception_Table{boolean} 6|844m9 1135m35
199b7*Zero_Cost_Exceptions{boolean} 6|847m9 1149m35
203r7*Restrictions{32|301R9[22|49]} 6|837m9 1265m47 1270m47 1293m47 1294m47
. 1308m47 1311m47 1349m50 1392m38 1396m38 1415m41 1420m44 1444m38 1451m41
. 1481m44 1510m35
206i7*First_Interrupt_State{67I9} 6|819m9
207i7*Last_Interrupt_State<integer> 6|824m9 1565m32
214i7*First_Specific_Dispatching{70I9} 6|821m9
215i7*Last_Specific_Dispatching<integer> 6|826m9 1604m32
224i4*No_Main_Priority{47|59I9}
227i4*No_Main_CPU{47|59I9}
230K12*ALIs[44|55] 6|74r16 74r30 75r31 90r7 812r7 813r13 816r7 877r13 878r13
. 900r16 902r16 912r19 920r19 928r19 936r19 943r16 1031r16 1043r16 1050r16
. 1056r16 1066r19 1078r19 1092r16 1102r19 1119r16 1135r19 1149r19 1265r31
. 1270r31 1293r31 1294r31 1308r31 1311r31 1349r34 1392r22 1396r22 1415r25
. 1420r28 1444r22 1451r25 1481r28 1510r19 1565r16 1604r16 1626r13 1627r13
. 1657r44 2152r7 2153r7 2153r45 2157r10 2157r40 2158r23 2159r23 2210r7 2320r7
244i4*No_Unit_Id{52I9} 247r43 6|822r41 827r41 1626r42
247i4*First_Unit_Entry{52I9} 389r30
250E9*Unit_Type 250e68 328r15
250n23*Is_Spec{250E9} 6|2159r60
250n32*Is_Body{250E9} 6|2158r60
250n41*Is_Spec_Only{250E9} 6|2171r47
250n55*Is_Body_Only{250E9} 6|2169r47
256A12*Version_String{string}<integer> 339r17
259R9*Unit_Record 384e14 387r30 6|1631r18
261i7*My_ALI{49I9} 6|1637m16
264i7*Uname{17|442I9} 6|79r47 1634m16 1668r36 1679r64 1708r61 1715r65 1727r38
. 2166r52
267i7*Sfile{17|417I9} 6|1638m16 1670r31 1682r49 1683r53 1710r64 1717r68 2153r73
270b7*Preelab{boolean} 6|1640m16 1856m44
273b7*No_Elab{boolean} 6|1641m16 1842m44
277b7*Pure{boolean} 6|1639m16 1858m44
280b7*Dynamic_Elab{boolean} 6|1650m16 1770m44 1920r42
285b7*Elaborate_Body{boolean} 6|1651m16 1782m44
289b7*Set_Elab_Entity{boolean} 6|1652m16 1784m44
294b7*Has_RACW{boolean} 6|1645m16 1890m44
298b7*Remote_Types{boolean} 6|1644m16 1888m44
302b7*Shared_Passive{boolean} 6|1642m16 1901m44
306b7*RCI{boolean} 6|1643m16 1886m44
310b7*Predefined{boolean} 6|1635m16
313b7*Internal{boolean} 6|1636m16 1921r50
316i7*First_With{55I9} 6|1654m16
319i7*Last_With{55I9} 6|2017m35
322i7*First_Arg{58I9} 6|1655m16
325i7*Last_Arg{58I9} 6|2018m35
328e7*Utype{250E9} 6|2158m51 2159m50 2169m38 2171m38
331b7*Is_Generic{boolean} 6|1647m16 1798m44
335e7*Unit_Kind{character} 6|1860m44 1903m44
339a7*Version{256A12} 6|1653m16 1739m41 1743m44
342e7*Icasing{9|47E9} 6|1648m16 1809m44 1814m44
347e7*Kcasing{9|47E9} 6|1649m16 1827m44 1829m44
352i7*Elab_Position{natural} 6|1656m16
357b7*Init_Scalars{boolean} 6|1646m16 1811m44
361b7*SAL_Interface{boolean} 6|1657m16
364b7*Directly_Scanned{boolean} 6|1658m16
367b7*Body_Needed_For_SAL{boolean} 6|1659m16 1757m44
371b7*Elaborate_Body_Desirable{boolean} 6|1660m16 1753m44
378e7*Optimize_Alignment{character} 6|1661m16 1873m44
381b7*Has_Finalizer{boolean} 6|1662m16 1854m44
386K12*Units[44|55] 6|78r16 78r31 79r31 92r7 1624r10 1627r43 1631r38 1631r51
. 1666r32 1679r39 1679r52 1682r24 1682r37 1683r24 1708r36 1708r49 1710r39
. 1710r52 1715r36 1717r39 1727r13 1727r26 1727r50 1739r16 1739r29 1743r19
. 1743r32 1753r19 1753r32 1757r19 1757r32 1770r19 1770r32 1782r19 1782r32
. 1784r19 1784r32 1798r19 1798r32 1809r19 1809r32 1811r19 1811r32 1814r19
. 1814r32 1827r19 1827r32 1829r19 1829r32 1842r19 1842r32 1854r19 1854r32
. 1856r19 1856r32 1858r19 1858r32 1860r19 1860r32 1873r19 1873r32 1886r19
. 1886r32 1888r19 1888r32 1890r19 1890r32 1901r19 1901r32 1903r19 1903r32
. 1920r17 1920r30 1921r25 1921r38 2017r10 2017r23 2018r10 2018r23 2101r15
. 2127r56 2152r36 2153r32 2158r10 2159r10 2166r27 2166r40 2169r13 2169r26
. 2171r13 2171r26
403R9*Interrupt_State_Record 412e14 415r30
404i7*Interrupt_Id{47|62I12} 6|1561m19
407e7*Interrupt_State{character} 6|1562m19
410i7*IS_Pragma_Line{47|62I12} 6|1563m19
414K12*Interrupt_States[44|55] 6|819r41 824r41 1560r16 1565r56
432R9*Specific_Dispatching_Record 446e14 449r30
433e7*Dispatching_Policy{character} 6|1599m19
436i7*First_Priority{47|62I12} 6|1600m19
440i7*Last_Priority{47|62I12} 6|1601m19
444i7*PSD_Pragma_Line{47|62I12} 6|1602m19
448K12*Specific_Dispatching[44|55] 6|821r41 826r41 1598r16 1605r18
466b4*Dynamic_Elaboration_Checks_Specified{boolean} 6|110m7 1771m19
470e4*Float_Format_Specified{character} 6|111m7 1049m16 1050r48
475b4*Initialize_Scalars_Used{boolean} 6|1812m19
478e4*Locking_Policy_Specified{character} 6|112m7 1055m16 1056r50
482b4*No_Normalize_Scalars_Specified{boolean} 6|113m7 1164m13
486b4*No_Object_Specified{boolean} 6|114m7 1067m19
490b4*Normalize_Scalars_Specified{boolean} 6|115m7 1079m19
494e4*Partition_Elaboration_Policy_Specified{character} 6|116m7 1042m16 1044r18
499e4*Queuing_Policy_Specified{character} 6|117m7 1091m16 1092r50
503r4*Cumulative_Restrictions{32|301R9[22|49]} 6|1200r52 1267m31 1271m31
. 1296r34 1297m34 1299r39 1301m34 1302m34 1310m31 1316m34 1318r39 1327m37
. 1328r39 1338m40 1340m40 1351m34 1393m22 1397m22 1422r31 1423m31 1425r36
. 1427m31 1428m31 1445m22 1454m28 1456r33 1463m31 1464r33 1473m34 1475m34
. 1482m28 1509m19
508b4*Stack_Check_Switch_Set{boolean} 6|983m16
512b4*Static_Elaboration_Model_Used{boolean} 6|118m7 1923m13
517e4*Task_Dispatching_Policy_Specified{character} 6|119m7 1118m16 1120r18
522b4*Unreserve_All_Interrupts_Specified{boolean} 6|120m7 1130m19
526b4*Zero_Cost_Exceptions_Specified{boolean} 6|121m7 1150m19
538i4*No_With_Id{55I9} 541r43
541i4*First_With_Entry{55I9} 580r30
544R9*With_Record 575e14 578r30
546i7*Uname{17|442I9} 6|1941m41
549i7*Sfile{17|417I9} 6|1954m44 1960m44
552i7*Afile{17|417I9} 6|1955m44 1962m44
555b7*Elaborate{boolean} 6|1942m41 1986m53
558b7*Elaborate_All{boolean} 6|1943m41 1991m53
561b7*Elab_All_Desirable{boolean} 6|1945m41 1978m53
564b7*Elab_Desirable{boolean} 6|1944m41 2000m56
567b7*SAL_Interface{boolean} 6|1946m41
570b7*Limited_With{boolean} 6|1947m41
573b7*Implicit_With_From_Instantiation{boolean} 6|1948m41
577K12*Withs[44|55] 6|93r7 1654r44 1940r16 1941r16 1941r29 1942r16 1942r29
. 1943r16 1943r29 1944r16 1944r29 1945r16 1945r29 1946r16 1946r29 1947r16
. 1947r29 1948r16 1948r29 1954r19 1954r32 1955r19 1955r32 1960r19 1960r32
. 1962r19 1962r32 1978r28 1978r41 1986r28 1986r41 1991r28 1991r41 2000r31
. 2000r44 2017r48
593i4*No_Arg_Id{58I9} 596r41
596i4*First_Arg_Entry{58I9} 602r30
599K12*Args[44|55] 6|84r16 84r30 85r16 954r20 988r13 989r13 989r25 2018r48
619R9*Linker_Option_Record 636e14 642r30
620i7*Name{17|149I9} 6|2097m56
624i7*Unit{52I9} 6|2100m56
627b7*Internal_File{boolean} 6|2103m56
632i7*Original_Pos{positive} 6|2106m56
641K12*Linker_Options[44|55] 6|95r7 104r7 2095r13 2097r13 2097r35 2100r13
. 2100r35 2103r13 2103r35 2106r13 2106r35 2107r15
655R9*Notes_Record 673e14 680r30
656e7*Pragma_Type{character} 6|2123m41
659i7*Pragma_Line{47|62I12} 6|2124m41
662i7*Pragma_Col{47|62I12} 6|2126m41
665i7*Unit{52I9} 6|2127m41
668i7*Pragma_Args{17|149I9} 6|2130m44 2140m44
679K12*Notes[44|55] 6|96r7 105r7 2122r16 2123r16 2123r29 2124r16 2124r29
. 2126r16 2126r29 2127r16 2127r29 2130r19 2130r32 2140r19 2140r32
697I9*Vindex<short_short_integer> 700r43 707r20 6|2697r43 2706r14 2706r22
. 2706r37 2706r51
700V13*SHash{697I9} 700>20 711r20 6|2697b13 2707l8 2707t13
700p20 S{47|113P9} 6|2697b20 2702r16 2703r38
703V13*SEq{boolean} 703>18 703>22 712r20 6|2688b13 2691l8 2691t11
703p18 F1{47|113P9} 6|2688b18 2690r14
703p22 F2{47|113P9} 6|2688b22 2690r23
706K12*Version_Ref[26|70] 6|100r7 2201r13
721R9*No_Dep_Record 727e14 730r30
722i7*ALI_File{49I9}
725i7*No_Dep_Unit{17|149I9}
729K12*No_Deps[44|55] 6|91r7 1533r13
746i4*No_Sdep_Id{61I9} 749r34 6|632r35 684r32 820r41 825r41 2470r42 2497r39
. 2498r39 2551r56
749i4*First_Sdep_Entry{61I9} 788r30 6|641r49 782m7 2359r56 2404r48 2460r45
. 2597r48
754R9*Sdep_Record 783e14 786r30
756i7*Sfile{17|417I9} 6|2227m36 2275r38
759a7*Stamp{47|601A9} 6|2229m36 2231r39
763m7*Checksum{47|68M9} 6|2265m42
767b7*Dummy_Entry{boolean} 6|2230m36
771i7*Subunit_Name{17|149I9} 6|2273m36 2293m42
774i7*Rfile{17|417I9} 6|2274m36 2310m42
778i7*Start_Line{47|62I12} 6|2276m36 2301m42
785K12*Sdep[44|55] 6|94r7 782r27 2210r37 2222r13 2227r13 2227r25 2229r13
. 2229r25 2230r13 2230r25 2231r16 2231r28 2265r19 2265r31 2273r13 2273r25
. 2274r13 2274r25 2275r15 2275r27 2276r13 2276r25 2293r19 2293r31 2301r19
. 2301r31 2310r19 2310r31 2320r36
813R9*Xref_Section_Record 825e14 828r30 6|2352r18
814i7*File_Num{61I9} 6|2359m16 2363r36 2474r42
817i7*File_Name{17|417I9} 6|2360m16
820i7*First_Entity{47|62I12} 6|2361m16
823i7*Last_Entity{47|62I12} 6|2663m16
827K12*Xref_Section[44|55] 6|97r7 2349r10 2353r20 2353r40
838E9*Tref_Kind 842e16 886r14 6|238r32 610r33 2506r32
839n6*Tref_None{838E9} 6|623r35 628r20 2499r39 2516r41
840n6*Tref_Access{838E9} 6|621r35
841n6*Tref_Derived{838E9} 6|620r35 2520r34 2528r37 2549r37
842n6*Tref_Type{838E9} 6|622r35
844E9*Visibility_Kind 847e13 862r20
845n7*Global{844E9} 6|2440r42
846n7*Static{844E9} 6|2442r42
847n7*Other{844E9} 6|2444r42
851R9*Xref_Entity_Record 936e14 939r30 6|2376r24
852i7*Line{47|65I12} 6|2434m22
855e7*Etype{character} 6|2435m22 2528r62
859i7*Col{47|65I12} 6|2436m22
862e7*Visibility{844E9} 6|2440m28 2442m28 2444m28
865i7*Entity{17|149I9} 6|2447m22
868i7*Iref_File_Num{61I9} 6|2456m28 2459m28 2470m25
873i7*Iref_Line{47|62I12} 6|2457m28 2462m28 2471m25
878i7*Rref_Line{47|62I12} 6|2480m25 2491m25
882i7*Rref_Col{47|62I12} 6|2486m25 2492m25
886e7*Tref{838E9} 6|2499m22 2566m31
890i7*Tref_File_Num{61I9} 6|2498m22 2551r39 2567m31
896i7*Tref_Line{47|62I12} 6|2568m31
901e7*Tref_Type{character} 6|2569m31
907i7*Tref_Col{47|62I12} 6|2570m31
912i7*Tref_Standard_Entity{17|149I9} 6|2571m31
919i7*Oref_File_Num{61I9} 6|2497m22 2521m31
924i7*Oref_Line{47|62I12} 6|2522m31
925i7*Oref_Col{47|62I12} 6|2523m31
929i7*First_Xref{47|62I12} 6|2500m22
932i7*Last_Xref{47|62I12} 6|2638m22
938K12*Xref_Entity[44|55] 6|98r7 2361r32 2373r16 2377r26 2377r45 2649r25
. 2663r31
946e4*Array_Index_Reference{character} 6|2539r46
947e4*Interface_Reference{character} 6|2560r46
955R9*Xref_Record 996e14 999r30 6|2396r30 2535r36 2556r36 2590r30
956i7*File_Num{61I9} 6|2403m31 2405r49 2410m31 2537m34 2558m34 2596m31 2598r51
. 2602m31
961i7*Line{47|62I12} 6|2413m28 2538m34 2559m34 2605m28
965e7*Rtype{character} 6|2414m28 2539m34 2560m34 2606m28
978i7*Col{47|62I12} 6|2415m28 2540m34 2561m34 2628m28
981i7*Name{17|149I9} 6|2541m34 2562m34
993i7*Imported_Lang{17|149I9} 6|2613m31 2624m31
994i7*Imported_Name{17|149I9} 6|2618m31 2625m31
998K12*Xref[44|55] 6|99r7 2393r22 2396r50 2396r62 2500r39 2532r28 2535r56
. 2535r68 2553r28 2556r56 2556r68 2587r22 2590r50 2590r62 2638r35
1010U14*Initialize_ALI 6|67b14 122l8 122t22
1013V13*Scan_ALI{49I9} 1014>7 1015>7 1016>7 1017>7 1018>7 1019>7 1020>7 1021>7
. 1022>7 6|128b13 2682l8 2682t16
1014i7 F{17|417I9} 6|129b7 371r22 814r28 817r41 2104r38
1015p7 T{47|150P9} 6|130b7 139r42 387r23 388r21 389r21 408r23 409r21 410r21
. 412r20 422r16 516r50 520r50 698r17 702r20 712r17 774r18
1016b7 Ignore_ED{boolean} 6|131b7 1977r32 1999r35
1017b7 Err{boolean} 6|132b7 365r13 1695r19
1018b7 Read_Xref{boolean} 6|133b7 786r10
1019s7 Read_Lines{string} 6|134b7 792r13 795r19 796r21
1020s7 Ignore_Lines{string} 6|135b7 804r19 805r28 806r21
1021b7 Ignore_Errors{boolean} 6|136b7 285r16 311r19 329r16 443r17 485r16
. 577r16 724r16 1002r13 1176r13 1508r19 2648r25
1022b7 Directly_Scanned{boolean} 6|137b7 1658r44
X 6 ali.adb
42a4 Known_ALI_Lines(boolean) 302r24
74i11 J<integer> 75r43
78i11 J<integer> 79r44
84i11 J<integer> 85r28
139i7 P{47|144I9} 287m19 287r24 328m13 328r18 330m13 330r18 386r18 421r23
. 516r53 520r53 629m13 629r18 642m19 642r24 678m13 678r18 698r13 701m13 701r18
. 702r23 712r20 726m19 726r24 740m13 740r18 751m13 751r18 764m13 764r18 774r13
. 775m13 775r18 904m16 904r21 918m19 918r24 926m19 926r24 934m19 934r24 1971m25
. 1971r30 1983m25 1983r30 1989m28 1989r33 2261m19 2261r24 2399m25 2399r30
. 2406m28 2406r33 2427m25 2427r30 2479m22 2479r27 2584m25 2584r30 2599m28
. 2599r33
140i7 Line{47|161I9} 394r26 397r13 401r13 737m16 737r24
141i7 Id{5|49I9} 813m7 814r36 816r19 877r25 878r25 900r28 902r28 912r31 920r31
. 928r31 936r31 943r28 1031r28 1043r28 1050r28 1056r28 1066r31 1078r31 1092r28
. 1102r31 1119r28 1135r31 1149r31 1265r43 1270r43 1293r43 1294r43 1308r43
. 1311r43 1349r46 1392r34 1396r34 1415r37 1420r40 1444r34 1451r37 1481r40
. 1510r31 1533r30 1565r28 1604r28 1626r25 1627r25 1637r44 1657r56 2152r19
. 2153r19 2153r57 2157r22 2157r52 2158r35 2159r35 2210r19 2320r19 2325r17
. 2338r17 2677r14
142e7 C{character} 301r16 302r41 304r16 304r31 306m16 308r19 313m16 853m7
. 858r10 875m13 876r23 877r40 884m7 889r10 897m13 899r16 901r19 949m10 958r27
. 994m10 1001r13 1003r16 1007m16 1025m13 1029r16 1035r19 1041r19 1048r19
. 1054r19 1060r19 1061m16 1065r19 1071r22 1077r22 1090r19 1096r19 1097m16
. 1101r19 1106r22 1117r19 1124r19 1125m16 1129r19 1134r22 1145r19 1146m16
. 1148r19 1158m16 1170m7 1175r13 1177r16 1181m16 1191r16 1193m13 1215m16
. 1219r22 1376m19 1388m19 1390r24 1495m19 1514m22 1515r32 1528r13 1537m10
. 1544r13 1570m10 1577r13 1611m10 1618r27 1734m13 1738r16 1738r40 1739r56
. 1742m19 1743r59 1748r19 1749m16 1751r19 1755r22 1765r19 1766m16 1768r19
. 1778r19 1779m16 1781r19 1783r22 1793r19 1794m16 1796r19 1805r19 1806m16
. 1808r19 1810r22 1813r22 1823r19 1824m16 1826r19 1828r22 1838r19 1839m16
. 1841r19 1850r19 1851m16 1853r19 1855r22 1857r22 1859r22 1869r19 1870m16
. 1872r19 1872r35 1872r51 1872r67 1873r66 1882r19 1883m16 1885r19 1887r22
. 1889r22 1897r19 1898m16 1900r19 1902r22 1911m16 1926m10 1932r33 1932r51
. 1932r69 1947r64 1949r64 2014m13 2026r43 2037m19 2039r22 2040r29 2044r25
. 2045m22 2053m28 2055r31 2057r53 2060r34 2062r53 2076r25 2078m25 2081r47
. 2089m13 2114r34 2146m13 2179r27 2191m16 2193r19 2198r41 2205m10 2214r27
. 2317m10 2324r10 2330r10 2345r27 2368m13 2372r19 2372r37 2639m19 2651m25
. 2667m10
143b7 NS_Found{boolean} 1020m10 1080m19 1163r17
144i7 First_Arg{5|58I9} 954m7 1655r44
146a7 Ignore(boolean) 787m10 793m10 796m13 802m10 806m13 866r13 890r13 960r13
. 1014r10 1190r10 1529r13 1545r13 1578r13 1934r16 2028r16 2116r16 2181r13
. 2216r13 2337r10
151X7 Bad_ALI_Format 366r19 2642r24 2680r12
154V16 At_Eol{boolean} 273b16 276l11 276t17 484s13 576s13 723s17 725s26 750s20
. 910s20 969s23 1022s20 1226s29 1280s28 1360s32 1370s26 1491s23 1733s23 1953s19
. 1967s29 2129s19 2136s29 2246s29 2278s20 2581s25
157V16 At_End_Of_Field{boolean} 264b16 267l11 267t26 284s17 495s23 558s23
. 1985s28 2197s26 2264s36 2285s29 2306s29
160U17 Check_At_End_Of_Field 282b17 293l11 293t32 1752s19 1756s19 1769s19
. 1789s16 1797s19 1819s16 1834s16 1843s19 1865s16 1878s16 1895s16 1908s16
. 1973s25 1990s28 1995s28
163U17 Checkc 163>25 325b17 334l11 334t17 870s10 872s10 894s13 919s19 927s19
. 935s19 941s16 942s16 964s13 1023s13 1030s16 1036s16 1221s19 1382s16 1589s16
. 1622s10 1938s16 1972s25 1994s28 2032s16 2034s16 2071s25 2120s16 2125s16
. 2133s19 2185s13 2220s13 2302s19
163e25 C{character} 325b25 327r21
166U17 Check_Unknown_Line 299b17 319l11 319t29 854s7 885s7 957s10 999s7 1171s7
. 1542s7 1575s7 1617s10 1931s13 2025s13 2113s13 2178s10 2213s10 2328s7 2344s10
. 2675s7
178U17 Fatal_Error 290s16 316s16 332s13 340b17 435l11 435t22 444s13 488s16
. 551s13 580s16 729s16 864s10 905s16 1004s16 1010s13 1178s16 1184s13 1521s19
. 2006s25 2194s19 2267s19 2331s10 2466s25 2483s25
182U17 Fatal_Error_Ignore 183r22 441b17 446l11 446t29 1085s19 1112s19 1140s19
. 1152s19 1159s16 1760s19 1773s19 1786s19 1800s19 1816s19 1831s19 1845s19
. 1862s19 1875s19 1892s19 1905s19 1912s16 2042s22 2067s31
186V16 Getc{character} 313s21 493s38 556s43 598s22 649s35 696b16 704l11 704t15
. 853s12 875s18 884s12 897s18 943s47 949s15 970s41 994s15 1025s18 1042s58
. 1049s42 1055s44 1061s21 1091s44 1097s21 1118s53 1125s21 1146s21 1158s21
. 1170s12 1193s18 1215s21 1220s26 1228s48 1376s24 1388s24 1410s24 1438s24
. 1495s24 1514s27 1537s15 1557s27 1570s15 1592s26 1611s15 1734s18 1742s24
. 1749s21 1766s21 1779s21 1794s21 1806s21 1824s21 1839s21 1851s21 1870s21
. 1883s21 1898s21 1911s21 1926s15 2014s18 2037s24 2053s33 2078s30 2089s18
. 2123s56 2137s47 2146s18 2191s21 2205s15 2286s47 2307s47 2317s15 2435s31
. 2438s24 2465s25 2482s25 2606s37 2667s15
189V16 Get_File_Name{17|417I9} 189>31 452b16 470l11 470t24 1638s44 1960s53
. 1962s53 2227s45 2360s32
189b31 Lower{boolean} 453b10 464r13 1638r59 1961r56 1963r56
199V16 Get_Name{17|149I9} 200>10 201>10 458s15 476b16 526l11 526t19 534s33
. 636s35 1533s34 2447s32 2613s48 2618s48
200b10 Ignore_Spaces{boolean} 477b10 495r52 636r45
201b10 Ignore_Special{boolean} 458r25 478b10 497r20
221V16 Get_Nat{47|62I12} 541b16 563l11 563t18 638s21 643s24 650s35 912s52
. 920s55 936s47 1286s39 1418s61 1448s58 1555s27 1558s27 1594s30 1595s29 1596s27
. 2124s56 2126s56 2301s56 2359s41 2400s30 2407s33 2434s31 2436s31 2453s27
. 2462s41 2480s38 2486s37 2593s30 2600s33 2628s37
225V16 Get_Stamp{47|601A9} 569b16 602l11 602t20 2229s45
228V16 Get_Unit_Name{17|442I9} 532b16 535l11 535t24 1634s44 1941s63
233V16 Nextc{character} 266s17 275s17 275s37 275s56 286s22 306s21 327s13
. 504s29 504s49 505s29 505s49 506s29 506s49 507s29 511s29 516s29 520s29 550s18
. 559s23 559s43 586s13 619s15 631s16 640s19 663s24 710b16 713l11 713t16 735s16
. 735s37 736s16 763s16 763s36 911s19 917s19 925s19 933s19 1007s21 1181s21
. 1213s16 1219s39 1226s45 1280s43 1347s34 1479s28 1970s25 1982s28 1988s31
. 2077s35 2248s22 2250s46 2252s25 2254s46 2283s19 2300s19 2368s18 2402s28
. 2419s28 2451s22 2455s25 2478s22 2583s35 2595s28 2611s28 2615s43 2620s43
. 2630s28 2639s24 2651s30
236U17 Get_Typeref 237>10 238<10 239<10 240<10 241<10 242<10 243<10 608b17
. 690l11 690t22 2514s25
237i10 Current_File_Num{5|61I9} 609b10 645r31
238e10 Ref{5|838E9} 610b10 620m28 621m28 622m28 623m28 628r13
239i10 File_Num{5|61I9} 611b10 632m16 641m19 645m19 684m13
240i10 Line{47|62I12} 612b10 633m16 648m16 685m13
241e10 Ref_Type{character} 613b10 634m16 649m16 686m13
242i10 Col{47|62I12} 614b10 635m16 650m16 687m13
243i10 Standard_Entity{17|149I9} 615b10 636m16 651m16 688m13
246U17 Skip_Eol 719b17 742l11 742t19 754s10 881s10 946s13 991s13 1167s10
. 1513s22 1534s13 1566s16 1607s16 1916s10 2011s16 2086s16 2143s16 2202s13
. 2314s13 2367s13 2582s25
250U17 Skip_Line 305s16 312s16 748b17 755l11 755t20 867s10 891s13 961s13
. 1006s16 1015s10 1180s16 1192s13 1214s16 1375s19 1494s19 1530s13 1546s13
. 1579s13 1935s16 2029s16 2117s16 2182s13 2217s13 2650s25
253U17 Skip_Space 482s10 545s10 574s10 679s13 721s10 761b17 766l11 766t21
. 871s10 895s13 908s13 915s16 923s16 931s16 939s16 1024s13 1383s16 1532s13
. 1556s16 1590s16 1593s16 1623s10 1732s13 1939s16 1968s22 2033s16 2186s13
. 2221s13 2235s13 2279s16 2295s19 2365s13 2495s19 2579s22
256U17 Skipc 674s19 772b17 777l11 777t16 1283s28 1348s34 1480s28 2452s22
. 2461s25 2612s28 2616s28 2621s28
341i10 Ptr1{47|144I9} 386m10 387r16 388r24 389r24 391m13 391r21 406r18 421r16
. 422r19 428m13 428r21
342i10 Ptr2{47|144I9} 406m10 408r16 409r24 410r24 412r23 413m13 413r21
343i10 Col{47|59I9} 353r29 358m16 358r23 405m10 419m10
345U20 Wchar 345>27 348b20 352s19 360l14 360t19 412s13 423s16 425s16 431s10
345e27 C{character} 348b27 350r16 357r28
455i10 F{17|149I9} 458m10 468r36
542i10 V{47|62I12} 554m10 556m13 556r18 562r17
570a10 T{47|601A9} 587m13 588m13 597r28 598m13 601r17
571i10 Start{integer} 589m13 594m13 597r19
597i14 J{integer} 598r16
617i10 N{47|62I12} 638m16 641r40 643m19 648r35
659i16 Nested_Brackets{natural} 665m25 665r44 667m25 667r44 669r28
795i14 J{integer} 796r33
804i14 J{integer} 805r42 806r35
874i14 J{integer} 877r34 878r40
956l7 A_Loop 958r15 995l16 995e22
1199q10 Scan_Restrictions 1523l14 1523e31
1200r13 Save_R{32|301R9[22|49]} 1509r46
1203X13 Bad_R_Line 1274r37 1281r34 1357r37 1361r34 1367r31 1371r28 1403r28
. 1433r31 1487r28 1492r25 1502r18
1206e13 Typ{character} 1220m19 1263r30 1288r30
1207e13 R{32|85E9[22|49]} 1237m22 1238r28 1239r50 1243m25 1243r51 1258r24
. 1265r70 1267r65 1270r65 1271r60 1293r65 1294r67 1296r63 1297r65 1299r70
. 1301r63 1302r65 1308r70 1310r65 1311r67 1315r34 1316r65 1318r70 1327r68
. 1328r70 1338r71 1340r73 1349r72 1351r67
1208i13 N{natural} 1286m25 1294r73 1299r74 1302r71 1311r73 1318r74 1328r75
1234a22 RN{string} 1239r55
1256L21 R_Found 1240r33
1374L18 Done_With_Restriction_Line 1253r27
1387e20 R{32|225E12[22|49]} 1392r61 1393r56 1396r56 1397r51
1409e20 RP{32|243E12[22|49]} 1415r59 1420r64 1422r60 1423r62 1425r67 1427r60
. 1428r62 1444r61 1445r56 1451r61 1453r28 1454r59 1456r64 1463r62 1464r64
. 1473r65 1475r67 1481r66 1482r61
1418i28 N{integer} 1420r71 1425r72 1428r69
1448i25 N{integer} 1451r68 1456r69 1464r70
1550i16 Int_Num{47|62I12} 1555m16 1561r38
1551e16 I_State{character} 1557m16 1562r38
1552i16 Line_No{47|62I12} 1558m16 1563r38
1583e16 Policy{character} 1592m16 1599r41
1584i16 First_Prio{47|62I12} 1594m16 1600r41
1585i16 Last_Prio{47|62I12} 1595m16 1601r41
1586i16 Line_No{47|62I12} 1596m16 1602r41
1616l7 U_Loop 1618r15 2148l16 2148e22
1631r13 UL{5|259R9} 1634r13 1635r13 1636r13 1637r13 1638r13 1639r13 1640r13
. 1641r13 1642r13 1643r13 1644r13 1645r13 1646r13 1647r13 1648r13 1649r13
. 1650r13 1651r13 1652r13 1653r13 1654r13 1655r13 1656r13 1657r13 1658r13
. 1659r13 1660r13 1661r13 1662r13 1668r33 1670r28
1678i13 Info{47|59I9} 1681r16 1683r46 1715r58 1717r61
1741i20 J{integer} 1743r53
1930l10 With_Loop 1932r18 2015l19 2015e28
2024l10 Linker_Options_Loop 2026r18 2090l19 2090e38
2048i25 V{natural} 2051m25 2056m31 2056r36 2061m31 2061r36 2072r65
2052i29 J{integer}
2112l10 Notes_Loop 2114r18 2147l19 2147e29
2177l7 E_Loop 2179r15 2206l16 2206e22
2212l7 D_Loop 2214r15 2318l16 2318e22
2238i16 Ctr{natural} 2242m16 2246r44 2260m19 2260r26 2264r19
2239m16 Chk{47|68M9} 2243m16 2249m22 2249r29 2253m22 2253r29 2265r54
2343l7 X_Loop 2345r15 2668l16 2668e22
2351q10 Read_Refs_For_One_File 2665l14 2665e36
2352r13 XS{5|813R9} 2359r13 2360r13 2361r13 2363r33 2474r39 2663r13
2355i13 Current_File_Num{5|61I9} 2363m13 2390r50 2456r45 2474m19 2515r28
. 2598m28 2602r43
2375q16 Read_Refs_For_One_Entity 2658l20 2658e44
2376r19 XE{5|851R9} 2434r19 2435r19 2436r19 2440r25 2442r25 2444r25 2447r19
. 2456r25 2457r25 2459r25 2462r25 2470r22 2471r22 2480r22 2486r22 2491r22
. 2492r22 2497r19 2498r19 2499r19 2500r19 2521r28 2522r28 2523r28 2528r59
. 2551r36 2566r28 2567r28 2568r28 2569r28 2570r28 2571r28 2638r19
2378i19 N{47|62I12} 2400m25 2404r39 2407m28 2413r37 2453m22 2457r45 2460r36
. 2593m25 2597r39 2600m28 2605r37
2380U29 Read_Instantiation_Reference 2389b29 2421s28 2429l23 2429t51 2631s28
2390i22 Local_File_Num{5|61I9} 2405m28 2410r43
2396r25 XR{5|955R9} 2403r28 2405r46 2410r28 2413r25 2414r25 2415r25
2506e25 Ref{5|838E9} 2515m46 2516r35 2520r28 2528r31 2549r31 2566r55
2507i25 File{5|61I9} 2515m51 2521r48 2537r46 2558r46 2567r55
2508i25 Line{47|62I12} 2515m57 2522r48 2538r46 2559r46 2568r55
2509e25 Typ{character} 2515m63 2520r56 2550r36 2569r55
2510i25 Col{47|62I12} 2515m68 2523r48 2540r46 2561r46 2570r55
2511i25 Std{17|149I9} 2515m73 2541r46 2562r46 2571r55
2535r31 XR{5|955R9} 2537r31 2538r31 2539r31 2540r31 2541r31
2556r31 XR{5|955R9} 2558r31 2559r31 2560r31 2561r31 2562r31
2590r25 XR{5|955R9} 2596r28 2598r48 2602r28 2605r25 2606r25 2613r28 2618r28
. 2624r28 2625r28 2628r25
2698m7 H{47|68M9} 2701m7 2703m10 2703r15 2706r45
2702i11 J{integer} 2703r41
X 8 butil.ads
28K9*Butil 6|26w6 26r18 8|54e10
32V13*Is_Predefined_Unit{boolean} 6|1635s44
38V13*Is_Internal_Unit{boolean} 6|1636s44
50U14*Write_Unit_Name 6|1668s16 1708s19 1715s19
X 9 casing.ads
34K9*Casing 5|30w6 30r19 9|89e11
47E9*Casing_Type 5|342r17 347r17 9|62e5
49n7*All_Upper_Case{47E9} 6|1814r55 1829r55
52n7*All_Lower_Case{47E9} 6|1649r44 1809r55
55n7*Mixed_Case{47E9} 6|1648r44 1827r55
X 10 debug.ads
36K9*Debug 6|27w6 27r18 10|188e10
71b4*Debug_Flag_U{boolean} 6|1664r16
74b4*Debug_Flag_X{boolean} 6|2337r36
X 11 fname.ads
38K9*Fname 6|28w6 28r18 11|99e10
82V13*Is_Internal_File_Name{boolean} 6|2104s15
X 12 gnat.ads
34K9*GNAT 5|37r6 37r23 12|37e9
X 13 g-htable.ads
46K14*HTable 5|37w11 37r28 13|60e16
55k20*Simple_HTable 5|706r31
X 14 gnatvsn.ads
35K9*Gnatvsn 5|31w6 31r19 14|98e12
73N4*Ver_Len_Max 5|101r26 6|874r24
X 17 namet.ads
38K9*Namet 5|32w6 32r19 17|544e10
127a4*Name_Buffer{string} 6|465m39 465r39 498r19 499r51 980r24 981r24 989r51
. 1228m22 1234r42 2168r13 2201r42
133i4*Name_Len{natural} 6|465r57 481m10 499r29 499r64 968m13 979r16 981r42
. 989r69 1225m19 1227m22 1227r34 1228r35 1234r60 2022m10 2094r13 2135m19
. 2168r26 2188m13 2189m13 2201r60 2284m19 2304m19
149I9*Name_Id<integer> 5|620r14 668r21 725r21 771r22 865r16 912r30 981r14
. 993r23 994r23 6|201r52 243r32 455r14 478r52 615r33 2511r32
153i4*No_Name{149I9} 5|981r25 993r34 994r34 6|651r35 688r32 2130r59 2273r52
. 2624r48 2625r48
157i4*Error_Name{149I9} 6|486r23
177U14*Get_Name_String 6|2166s10
233V13*Get_Name_Table_Info{47|59I9} 6|1678s36
271V13*Name_Find{149I9} 6|466s20 525s17 2293s58
281V13*Name_Enter{149I9} 6|2098s15 2140s59 2310s51
340U14*Add_Char_To_Name_Buffer 6|493s13 970s16 2072s25 2081s22 2085s16 2137s22
. 2198s16 2286s22 2307s22
363U14*Set_Name_Table_Info 6|75s10 79s10 814s7 1726s10
396U14*Write_Name 6|371s10 1670s16
404U14*Write_Name_Decoded 6|1710s19 1717s19
417I9*File_Name_Type<149I9> 5|90r15 93r25 96r15 267r15 549r15 552r15 756r15
. 774r15 817r19 1014r26 6|129r26 189r64 453r43 468r20
422i4*No_File{417I9} 6|839r41 1954r53 1955r53
442I9*Unit_Name_Type<149I9> 5|264r15 546r15 6|228r37 532r37 534r17
X 19 opt.ads
50K9*Opt 6|29w6 29r18 1107r19 19|2045e8
377b4*Configurable_Run_Time_Mode{boolean} 6|1073m19
445b4*Detect_Blocking{boolean} 6|1037m16
1000b4*No_Run_Time_Mode{boolean} 6|1072m19
1216b4*Sec_Stack_Used{boolean} 6|1107m23
X 20 osint.ads
44K9*Osint 6|30w6 30r18 20|778e10
83b4*File_Names_Case_Sensitive{boolean} 6|464r27
89U14*Canonical_Case_File_Name 6|465s13
588V13*Full_Object_File_Name{17|417I9} 6|834s41
649n7*E_Fatal{643E9} 6|434r24 1721r33
652U14*Exit_Program 6|434s10 1721s19
X 21 output.ads
44K9*Output 6|31w6 31r18 21|222e11
77U14*Set_Standard_Error 6|369s10 1703s19
106U14*Write_Char 6|357s16 398s13 402s13 1711s19 1718s19
113U14*Write_Eol 6|373s10 382s10 416s10 432s10 1671s16 1705s19 1712s19 1719s19
123U14*Write_Int 6|394s10 1666s16
130U14*Write_Str 6|370s10 372s10 375s10 395s10 418s10 1665s16 1667s16 1669s16
. 1704s19 1707s19 1709s19 1714s19 1716s19
X 22 rident.ads
49K9*Rident[32|75] 5|33w6 33r19
X 23 system.ads
64M9*Address
X 26 s-htable.ads
56I12 Header_Num 5|707r6
59+12 Element 5|708r6
62*7 No_Element{59+12} 5|709r6
66+12 Key 5|710r6
67V21 Hash{56I12} 5|711r6
68V21 Equal{boolean} 5|712r6
72U17*Set 6|2201s25[5|706]
76U17*Reset 6|100s19[5|706]
X 29 s-memory.ads
53V13*Alloc{23|64M9} 103i<c,__gnat_malloc>22
68U14*Free 104i<c,__gnat_free>22
76V13*Realloc{23|64M9} 105i<c,__gnat_realloc>22
X 32 s-rident.ads
85E9*Restriction_Id 6|1207r19[22|49] 1237r27[22|49] 1239r28[22|49] 1243r30[22|49]
210n7*Not_A_Restriction_Id{85E9[22|49]} 6|1238r32[22|49] 1366r27[22|49]
225E12*All_Boolean_Restrictions{85E9[22|49]} 6|1262r27[22|49] 1387r25[22|49]
243E12*All_Parameter_Restrictions{85E9[22|49]} 6|1279r27[22|49] 1409r26[22|49]
255E12*Checked_Max_Parameter_Restrictions{248E12[22|49]} 6|1315r39[22|49]
. 1453r34[22|49]
297A9*Restriction_Flags(boolean)<85E9[22|49]>
298A9*Restriction_Values(natural)<85E9[22|49]>
299A9*Parameter_Flags(boolean)<85E9[22|49]>
301R9*Restrictions_Info 5|203r22[22|49] 503r30[22|49] 6|1200r31[22|49]
302a7*Set{297A9[22|49]} 6|1270m60[22|49] 1271m55[22|49] 1293m60[22|49] 1296r58[22|49]
. 1301m58[22|49] 1396m51[22|49] 1397m46[22|49] 1415m54[22|49] 1422r55[22|49]
. 1427m55[22|49]
308a7*Value{298A9[22|49]} 6|1294m60[22|49] 1297m58[22|49] 1299r63[22|49]
. 1302m58[22|49] 1338m64[22|49] 1420m57[22|49] 1423m55[22|49] 1425r60[22|49]
. 1428m55[22|49] 1473m58[22|49]
315a7*Violated{297A9[22|49]} 6|1265m60[22|49] 1267m55[22|49] 1308m60[22|49]
. 1310m55[22|49] 1392m51[22|49] 1393m46[22|49] 1444m51[22|49] 1445m46[22|49]
320a7*Count{298A9[22|49]} 6|1311m60[22|49] 1316m58[22|49] 1318r63[22|49]
. 1327m61[22|49] 1328r63[22|49] 1451m54[22|49] 1454m52[22|49] 1456r57[22|49]
. 1463m55[22|49] 1464r57[22|49]
328a7*Unknown{299A9[22|49]} 6|1340m64[22|49] 1349m63[22|49] 1351m58[22|49]
. 1475m58[22|49] 1481m57[22|49] 1482m52[22|49]
342r4*No_Restrictions{301R9[22|49]} 5|503r51[22|49] 6|837r41[22|49] 1510r51[22|49]
X 44 table.ads
43K9*Table 5|34w6 230r24 386r25 414r36 448r40 577r25 599r24 641r34 679r25
. 729r27 785r24 827r32 938r31 998r24 44|238e10
47+12 Table_Component_Type 5|231r6 387r6 415r6 449r6 578r6 600r6 642r6 680r6
. 730r6 786r6 828r6 939r6 999r6
48I12 Table_Index_Type 5|232r6 388r6 416r6 450r6 579r6 601r6 643r6 681r6
. 731r6 787r6 829r6 940r6 1000r6
50*7 Table_Low_Bound{48I12} 5|233r6 389r6 417r6 451r6 580r6 602r6 644r6 682r6
. 732r6 788r6 830r6 941r6 1001r6
51i7 Table_Initial{47|65I12} 5|234r6 390r6 418r6 452r6 581r6 603r6 645r6
. 683r6 733r6 789r6 831r6 942r6 1002r6
52i7 Table_Increment{47|62I12} 5|235r6 391r6 419r6 453r6 582r6 604r6 646r6
. 684r6 734r6 790r6 832r6 943r6 1003r6
53s7 Table_Name{string} 5|236r6 392r6 420r6 454r6 583r6 605r6 647r6 685r6
. 735r6 791r6 833r6 944r6 1004r6
55k12*Table 5|230r30 386r31 414r42 448r46 577r31 599r30 641r40 679r31 729r33
. 785r30 827r38 938r37 998r30 44|237e13
106A12*Table_Type(5|544R9)<5|55I9>
109A15*Big_Table_Type{106A12[5|386]}<5|52I9>
117P12*Table_Ptr(109A15[5|641])
121p7*Table{117P12[5|230]} 6|75r36[5|230] 79r37[5|386] 85r21[5|599] 816m12[5|230]
. 816r12[5|230] 877m18[5|230] 877r18[5|230] 878m18[5|230] 878r18[5|230] 900m21[5|230]
. 900r21[5|230] 902m21[5|230] 902r21[5|230] 912m24[5|230] 912r24[5|230] 920m24[5|230]
. 920r24[5|230] 928m24[5|230] 928r24[5|230] 936m24[5|230] 936r24[5|230] 943m21[5|230]
. 943r21[5|230] 989m18[5|599] 989r18[5|599] 1031m21[5|230] 1031r21[5|230]
. 1043m21[5|230] 1043r21[5|230] 1050m21[5|230] 1050r21[5|230] 1056m21[5|230]
. 1056r21[5|230] 1066m24[5|230] 1066r24[5|230] 1078m24[5|230] 1078r24[5|230]
. 1092m21[5|230] 1092r21[5|230] 1102m24[5|230] 1102r24[5|230] 1119m21[5|230]
. 1119r21[5|230] 1135m24[5|230] 1135r24[5|230] 1149m24[5|230] 1149r24[5|230]
. 1265m36[5|230] 1265r36[5|230] 1270m36[5|230] 1270r36[5|230] 1293m36[5|230]
. 1293r36[5|230] 1294m36[5|230] 1294r36[5|230] 1308m36[5|230] 1308r36[5|230]
. 1311m36[5|230] 1311r36[5|230] 1349m39[5|230] 1349r39[5|230] 1392m27[5|230]
. 1392r27[5|230] 1396m27[5|230] 1396r27[5|230] 1415m30[5|230] 1415r30[5|230]
. 1420m33[5|230] 1420r33[5|230] 1444m27[5|230] 1444r27[5|230] 1451m30[5|230]
. 1451r30[5|230] 1481m33[5|230] 1481r33[5|230] 1510m24[5|230] 1510r24[5|230]
. 1565m21[5|230] 1565r21[5|230] 1604m21[5|230] 1604r21[5|230] 1626r18[5|230]
. 1627m18[5|230] 1627r18[5|230] 1631r44[5|386] 1657r49[5|230] 1679r45[5|386]
. 1682r30[5|386] 1683r30[5|386] 1708r42[5|386] 1710r45[5|386] 1715r42[5|386]
. 1717r45[5|386] 1727r19[5|386] 1739m22[5|386] 1739r22[5|386] 1743m25[5|386]
. 1743r25[5|386] 1753m25[5|386] 1753r25[5|386] 1757m25[5|386] 1757r25[5|386]
. 1770m25[5|386] 1770r25[5|386] 1782m25[5|386] 1782r25[5|386] 1784m25[5|386]
. 1784r25[5|386] 1798m25[5|386] 1798r25[5|386] 1809m25[5|386] 1809r25[5|386]
. 1811m25[5|386] 1811r25[5|386] 1814m25[5|386] 1814r25[5|386] 1827m25[5|386]
. 1827r25[5|386] 1829m25[5|386] 1829r25[5|386] 1842m25[5|386] 1842r25[5|386]
. 1854m25[5|386] 1854r25[5|386] 1856m25[5|386] 1856r25[5|386] 1858m25[5|386]
. 1858r25[5|386] 1860m25[5|386] 1860r25[5|386] 1873m25[5|386] 1873r25[5|386]
. 1886m25[5|386] 1886r25[5|386] 1888m25[5|386] 1888r25[5|386] 1890m25[5|386]
. 1890r25[5|386] 1901m25[5|386] 1901r25[5|386] 1903m25[5|386] 1903r25[5|386]
. 1920r23[5|386] 1921r31[5|386] 1941m22[5|577] 1941r22[5|577] 1942m22[5|577]
. 1942r22[5|577] 1943m22[5|577] 1943r22[5|577] 1944m22[5|577] 1944r22[5|577]
. 1945m22[5|577] 1945r22[5|577] 1946m22[5|577] 1946r22[5|577] 1947m22[5|577]
. 1947r22[5|577] 1948m22[5|577] 1948r22[5|577] 1954m25[5|577] 1954r25[5|577]
. 1955m25[5|577] 1955r25[5|577] 1960m25[5|577] 1960r25[5|577] 1962m25[5|577]
. 1962r25[5|577] 1978m34[5|577] 1978r34[5|577] 1986m34[5|577] 1986r34[5|577]
. 1991m34[5|577] 1991r34[5|577] 2000m37[5|577] 2000r37[5|577] 2017m16[5|386]
. 2017r16[5|386] 2018m16[5|386] 2018r16[5|386] 2097m28[5|641] 2097r28[5|641]
. 2100m28[5|641] 2100r28[5|641] 2103m28[5|641] 2103r28[5|641] 2106m28[5|641]
. 2106r28[5|641] 2123m22[5|679] 2123r22[5|679] 2124m22[5|679] 2124r22[5|679]
. 2126m22[5|679] 2126r22[5|679] 2127m22[5|679] 2127r22[5|679] 2130m25[5|679]
. 2130r25[5|679] 2140m25[5|679] 2140r25[5|679] 2152m12[5|230] 2152r12[5|230]
. 2153m12[5|230] 2153r12[5|230] 2153r38[5|386] 2153r50[5|230] 2157r15[5|230]
. 2157r45[5|230] 2158m16[5|386] 2158r16[5|386] 2158r28[5|230] 2159m16[5|386]
. 2159r16[5|386] 2159r28[5|230] 2166r33[5|386] 2169m19[5|386] 2169r19[5|386]
. 2171m19[5|386] 2171r19[5|386] 2210m12[5|230] 2210r12[5|230] 2227m18[5|785]
. 2227r18[5|785] 2229m18[5|785] 2229r18[5|785] 2230m18[5|785] 2230r18[5|785]
. 2231r21[5|785] 2265m24[5|785] 2265r24[5|785] 2273m18[5|785] 2273r18[5|785]
. 2274m18[5|785] 2274r18[5|785] 2275r20[5|785] 2276m18[5|785] 2276r18[5|785]
. 2293m24[5|785] 2293r24[5|785] 2301m24[5|785] 2301r24[5|785] 2310m24[5|785]
. 2310r24[5|785] 2320m12[5|230] 2320r12[5|230] 2353r33[5|827] 2377r38[5|938]
. 2396r55[5|998] 2535r61[5|998] 2556r61[5|998] 2590r55[5|998]
138U17*Init 6|90s12[5|230] 91s15[5|729] 92s13[5|386] 93s13[5|577] 94s12[5|785]
. 95s22[5|641] 96s13[5|679] 97s20[5|827] 98s19[5|938] 99s12[5|998]
145V16*Last{5|49I9} 6|74s35[5|230] 78s37[5|386] 84s35[5|599] 782s32[5|785]
. 813s18[5|230] 819s58[5|414] 821s62[5|448] 824s58[5|414] 826s62[5|448] 954s25[5|599]
. 989s30[5|599] 1565s73[5|414] 1605s39[5|448] 1627s49[5|386] 1631s57[5|386]
. 1654s50[5|577] 1666s38[5|386] 1679s58[5|386] 1682s43[5|386] 1708s55[5|386]
. 1710s58[5|386] 1727s32[5|386] 1727s56[5|386] 1739s35[5|386] 1743s38[5|386]
. 1753s38[5|386] 1757s38[5|386] 1770s38[5|386] 1782s38[5|386] 1784s38[5|386]
. 1798s38[5|386] 1809s38[5|386] 1811s38[5|386] 1814s38[5|386] 1827s38[5|386]
. 1829s38[5|386] 1842s38[5|386] 1854s38[5|386] 1856s38[5|386] 1858s38[5|386]
. 1860s38[5|386] 1873s38[5|386] 1886s38[5|386] 1888s38[5|386] 1890s38[5|386]
. 1901s38[5|386] 1903s38[5|386] 1920s36[5|386] 1921s44[5|386] 1941s35[5|577]
. 1942s35[5|577] 1943s35[5|577] 1944s35[5|577] 1945s35[5|577] 1946s35[5|577]
. 1947s35[5|577] 1948s35[5|577] 1954s38[5|577] 1955s38[5|577] 1960s38[5|577]
. 1962s38[5|577] 1978s47[5|577] 1986s47[5|577] 1991s47[5|577] 2000s50[5|577]
. 2017s29[5|386] 2017s54[5|577] 2018s29[5|386] 2018s53[5|599] 2097s50[5|641]
. 2100s50[5|641] 2101s21[5|386] 2103s50[5|641] 2106s50[5|641] 2107s30[5|641]
. 2123s35[5|679] 2124s35[5|679] 2126s35[5|679] 2127s35[5|679] 2127s62[5|386]
. 2130s38[5|679] 2140s38[5|679] 2152s42[5|386] 2166s46[5|386] 2169s32[5|386]
. 2171s32[5|386] 2210s42[5|785] 2227s30[5|785] 2229s30[5|785] 2230s30[5|785]
. 2231s33[5|785] 2265s36[5|785] 2273s30[5|785] 2274s30[5|785] 2275s32[5|785]
. 2276s30[5|785] 2293s36[5|785] 2301s36[5|785] 2310s36[5|785] 2320s41[5|785]
. 2353s53[5|827] 2361s44[5|938] 2377s57[5|938] 2396s67[5|998] 2500s44[5|998]
. 2535s73[5|998] 2556s73[5|998] 2590s67[5|998] 2638s40[5|998] 2663s43[5|938]
162i7*First{5|49I9} 6|74r21[5|230] 78r22[5|386] 84r21[5|599]
174U17*Increment_Last 6|104s22[5|641] 105s13[5|679] 812s12[5|230] 988s18[5|599]
. 1624s16[5|386] 1940s22[5|577] 2095s28[5|641] 2122s22[5|679] 2222s18[5|785]
. 2349s23[5|827] 2373s28[5|938] 2393s27[5|998] 2532s33[5|998] 2553s33[5|998]
. 2587s27[5|998]
178U17*Decrement_Last 6|2649s37[5|938]
182U17*Append 6|1533s21[5|729] 1560s33[5|414] 1598s37[5|448]
X 47 types.ads
52K9*Types 5|35w6 35r19 47|877e10
59I9*Int<integer> 5|128r23 134r18 140r26 224r32 227r27 6|343r17 394r21 814r31
. 1666r27 1678r29 1727r45
62I12*Nat{59I9} 5|404r22 410r24 436r28 440r28 444r25 659r21 662r20 778r20
. 820r22 823r21 829r30 873r19 878r19 882r18 896r19 907r18 924r19 925r19 929r20
. 932r19 940r30 961r14 978r13 1000r30 6|221r31 240r32 242r32 541r31 542r14
. 612r33 614r33 617r14 641r44 1550r26 1552r26 1584r29 1585r29 1586r29 2359r51
. 2378r24 2404r43 2460r40 2508r32 2510r32 2597r43
65I12*Pos{59I9} 5|852r14 859r13
68M9*Word 5|763r18 6|2239r22 2698r11
91e4*EOF{character} 6|275r25 308r23 699r20 735r46 1003r20 1177r20 2324r14
. 2372r42
113P9*String_Ptr(string) 5|600r30 700r24 703r27 710r20 6|2688r27 2697r24
116U14*Free[49|20] 6|85s10
144I9*Text_Ptr<59I9> 6|139r19 341r17 342r17
147A9*Text_Buffer(character)<144I9>
150P9*Text_Buffer_Ptr(147A9) 5|1015r26 6|130r26
161I9*Logical_Line_Number<integer> 6|140r19
601A9*Time_Stamp_Type<string><integer> 5|759r15 6|225r33 569r33 570r18
610a4*Dummy_Time_Stamp{601A9} 6|578r23 2231r47
614V14*"="{boolean} 6|2231s45