This file is indexed.

/usr/share/gtksourceview-3.0/language-specs/bluespec.lang is in libgtksourceview-3.0-common 3.24.7-1.

This file is owned by root:root, with mode 0o644.

The actual contents of the file can be viewed below.

  1
  2
  3
  4
  5
  6
  7
  8
  9
 10
 11
 12
 13
 14
 15
 16
 17
 18
 19
 20
 21
 22
 23
 24
 25
 26
 27
 28
 29
 30
 31
 32
 33
 34
 35
 36
 37
 38
 39
 40
 41
 42
 43
 44
 45
 46
 47
 48
 49
 50
 51
 52
 53
 54
 55
 56
 57
 58
 59
 60
 61
 62
 63
 64
 65
 66
 67
 68
 69
 70
 71
 72
 73
 74
 75
 76
 77
 78
 79
 80
 81
 82
 83
 84
 85
 86
 87
 88
 89
 90
 91
 92
 93
 94
 95
 96
 97
 98
 99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
<?xml version="1.0" encoding="UTF-8"?>
<!--

 Author: Philip Withnall
 Copyright (C) 2012 Philip Withnall

 GtkSourceView is free software; you can redistribute it and/or
 modify it under the terms of the GNU Lesser General Public
 License as published by the Free Software Foundation; either
 version 2.1 of the License, or (at your option) any later version.

 GtkSourceView is distributed in the hope that it will be useful,
 but WITHOUT ANY WARRANTY; without even the implied warranty of
 MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
 Lesser General Public License for more details.

 You should have received a copy of the GNU Lesser General Public License
 along with this library; if not, see <http://www.gnu.org/licenses/>.

-->
<language id="bluespec" name="Bluespec SystemVerilog" version="2.0" _section="Source">
  <metadata>
    <property name="globs">*.bsv</property>
    <property name="line-comment-start">//</property>
    <property name="block-comment-start">/*</property>
    <property name="block-comment-end">*/</property>
  </metadata>

  <styles>
    <style id="system-task" name="System Task" map-to="def:keyword"/>
    <style id="annotation" name="Annotation" map-to="def:function"/>
    <style id="attribute" name="Attribute" map-to="def:type"/>
    <style id="import-bvi" name="Import BVI" map-to="def:keyword"/>
    <style id="keyword" name="Keyword" map-to="def:keyword"/>
    <style id="type" name="Type" map-to="def:type"/>
  </styles>

  <definitions>
    <context id="system-task" style-ref="system-task">
      <prefix>\$</prefix>
      <keyword>display</keyword>
      <keyword>dumpoff</keyword>
      <keyword>dumpon</keyword>
      <keyword>dumpvars</keyword>
      <keyword>fclose</keyword>
      <keyword>fdisplay</keyword>
      <keyword>fflush</keyword>
      <keyword>fgetc</keyword>
      <keyword>finish</keyword>
      <keyword>fopen</keyword>
      <keyword>fwrite</keyword>
      <keyword>stime</keyword>
      <keyword>stop</keyword>
      <keyword>test\$plusargs</keyword>
      <keyword>time</keyword>
      <keyword>ungetc</keyword>
      <keyword>write</keyword>
    </context>

    <define-regex id="attributes-names" extended="true">
      always_enabled|
      always_ready|
      CLK|
      descending_urgency|
      doc|
      enable|
      fire_when_enabled|
      no_implicit_conditions|
      noinline|
      port|
      preempts|
      prefix|
      ready|
      result|
      RST_N|
      synthesize
    </define-regex>

    <context id="annotation" style-ref="annotation">
      <start>\(\*\s*(\%{attributes-names})</start>
      <end>\*\)</end>
      <include>
        <context sub-pattern="1" where="start" style-ref="attribute"/>
        <context ref="verilog:string"/>
      </include>
    </context>

    <context id="import-bvi" style-ref="import-bvi">
      <keyword>ancestor</keyword>
      <keyword>clocked_by</keyword>
      <keyword>default_clock</keyword>
      <keyword>default_reset</keyword>
      <keyword>enable</keyword>
      <keyword>input_clock</keyword>
      <keyword>input_reset</keyword>
      <keyword>method</keyword>
      <keyword>no_reset</keyword>
      <keyword>output_clock</keyword>
      <keyword>output_reset</keyword>
      <keyword>parameter</keyword>
      <keyword>path</keyword>
      <keyword>port</keyword>
      <keyword>ready</keyword>
      <keyword>reset_by</keyword>
      <keyword>same_family</keyword>
      <keyword>schedule</keyword>
    </context>

    <context id="keyword" style-ref="keyword">
      <keyword>action</keyword>
      <keyword>clocked_by</keyword>
      <keyword>deriving</keyword>
      <keyword>endaction</keyword>
      <keyword>endfunction</keyword>
      <keyword>endinterface</keyword>
      <keyword>endmethod</keyword>
      <keyword>endmodule</keyword>
      <keyword>endpackage</keyword>
      <keyword>endrule</keyword>
      <keyword>endrules</keyword>
      <keyword>enum</keyword>
      <keyword>function</keyword>
      <keyword>if</keyword>
      <keyword>import</keyword>
      <keyword>interface</keyword>
      <keyword>let</keyword>
      <keyword>match</keyword>
      <keyword>method</keyword>
      <keyword>module</keyword>
      <keyword>numeric</keyword>
      <keyword>package</keyword>
      <keyword>provisos</keyword>
      <keyword>reset_by</keyword>
      <keyword>rule</keyword>
      <keyword>rules</keyword>
      <keyword>struct</keyword>
      <keyword>tagged</keyword>
      <keyword>type</keyword>
      <keyword>typedef</keyword>
      <keyword>union</keyword>
    </context>

    <context id="type" style-ref="type">
      <keyword>Action</keyword>
      <keyword>ActionValue</keyword>
      <keyword>Bit</keyword>
      <keyword>Bool</keyword>
      <keyword>int</keyword>
      <keyword>Int</keyword>
      <keyword>Integer</keyword>
      <keyword>Maybe</keyword>
      <keyword>Nat</keyword>
      <keyword>Rules</keyword>
      <keyword>String</keyword>
      <keyword>Tuple[2-7]</keyword>
      <keyword>UInt</keyword>
    </context>

    <context id="standard-interface" style-ref="type">
      <keyword>Client</keyword>
      <keyword>ClientServer</keyword>
      <keyword>Connectable</keyword>
      <keyword>FIFO</keyword>
      <keyword>FIFOF</keyword>
      <keyword>Get</keyword>
      <keyword>GetPut</keyword>
      <keyword>PulseWire</keyword>
      <keyword>Put</keyword>
      <keyword>Reg</keyword>
      <keyword>Server</keyword>
      <keyword>Wire</keyword>
    </context>

    <context id="bluespec" class="no-spell-check">
      <include>
        <context ref="system-task"/>
        <context ref="annotation"/>
        <context ref="import-bvi"/>
        <context ref="keyword"/>
        <context ref="type"/>
        <context ref="standard-interface"/>
        <!-- Bluespec includes Verilog as a subset -->
        <context ref="verilog:verilog"/>
      </include>
    </context>
  </definitions>
</language>