This file is indexed.

/usr/lib/ada/adalib/gnatprj/prj-pp.ali is in libgnatprj4.9-dev 4.9.2-1.

This file is owned by root:root, with mode 0o444.

The actual contents of the file can be viewed below.

  1
  2
  3
  4
  5
  6
  7
  8
  9
 10
 11
 12
 13
 14
 15
 16
 17
 18
 19
 20
 21
 22
 23
 24
 25
 26
 27
 28
 29
 30
 31
 32
 33
 34
 35
 36
 37
 38
 39
 40
 41
 42
 43
 44
 45
 46
 47
 48
 49
 50
 51
 52
 53
 54
 55
 56
 57
 58
 59
 60
 61
 62
 63
 64
 65
 66
 67
 68
 69
 70
 71
 72
 73
 74
 75
 76
 77
 78
 79
 80
 81
 82
 83
 84
 85
 86
 87
 88
 89
 90
 91
 92
 93
 94
 95
 96
 97
 98
 99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
V "GNAT Lib v4.9"
A -nostdinc
A -O2
A -fPIC
A -g
A -gnatn
A -mtune=generic
A -march=x86-64
P SS ZX

RN
RV NO_ACCESS_SUBPROGRAMS
RV NO_ENUMERATION_MAPS
RV NO_IMPLICIT_CONDITIONALS
RV NO_IMPLICIT_LOOPS
RV NO_RECURSION
RV NO_SECONDARY_STACK
RV NO_IMPLEMENTATION_ATTRIBUTES
RV NO_IMPLEMENTATION_PRAGMAS
RV NO_ELABORATION_CODE
RV SPARK_05

U prj.pp%b		prj-pp.adb		0f10ded9 OO PK
W ada%s			ada.ads			ada.ali
W ada.characters%s	a-charac.ads		a-charac.ali
W ada.characters.handling%s  a-chahan.adb	a-chahan.ali
W ada.tags%s		a-tags.adb		a-tags.ali
W interfaces%s		interfac.ads		interfac.ali
W output%s		output.adb		output.ali
W prj%s			prj.adb			prj.ali
W snames%s		snames.adb		snames.ali
W system%s		system.ads		system.ali
W system.img_enum_new%s	s-imenne.adb		s-imenne.ali
W system.img_int%s	s-imgint.adb		s-imgint.ali
W system.secondary_stack%s  s-secsta.adb	s-secsta.ali

U prj.pp%s		prj-pp.ads		5535d854 EE NE OO PK
W prj%s			prj.adb			prj.ali
W prj.tree%s		prj-tree.adb		prj-tree.ali

D ada.ads		20070406091342 3ffc8e18
D a-charac.ads		20070912115821 2d3ec45b
D a-chahan.ads		20131010125231 1c00991d
D a-chahan.adb		20131010125231 0b9287e4
D a-chlat1.ads		20140225151544 66457d31
D a-except.ads		20140129161953 f86a4103
D a-finali.ads		20111121113555 52ecdc1b
D a-stream.ads		20140122164720 1a984740
D a-string.ads		20070406091342 90ac6797
D a-strmap.ads		20090409150019 9cb836fb
D a-stmaco.ads		20090409150019 cef4434b
D a-tags.ads		20111212115430 6c0509d0
D a-unccon.ads		20070406091342 f9eb8f06
D a-uncdea.ads		20070406091342 f15a5ed1
D alloc.ads		20120330092659 daf1344a
D atree.ads		20140225154805 15f9fb8a
D casing.ads		20100909094434 5c2a7161
D csets.ads		20140122165324 e948558f
D debug.ads		20090420131856 3ea59655
D einfo.ads		20140225154805 b22949de
D err_vars.ads		20130910153044 d6d090e9
D errutil.ads		20121106112827 74c2502c
D gnat.ads		20110801150502 fd2ad2f1
D g-dynhta.ads		20140123165450 a2f217e6
D g-dyntab.ads		20140225151544 07ce153b
D g-dyntab.adb		20140225151544 ea85823a
D g-hesorg.ads		20110801150502 106922da
D g-os_lib.ads		20090409150019 0db74523
D g-string.ads		20090409150019 c6abc540
D hostparm.ads		20130411123322 c164ed60
D interfac.ads		20140225151838 69adb1b9
D namet.ads		20140129155348 0b5fbeae
D opt.ads		20140225154805 0424f3ab
D osint.ads		20140225150201 1af80c1c
D output.ads		20140219102553 2d9479ad
D prj.ads		20140206100638 b8b6aa5c
D prj-attr.ads		20140131155644 e364f3ad
D prj-env.ads		20140219105925 85b6a63d
D prj-err.ads		20100909123135 bb996db2
D prj-ext.ads		20140124142722 f07399b9
D prj-pp.ads		20111123112448 616d8763
D prj-pp.adb		20140219111205 67d2f508
D prj-tree.ads		20140219144832 8ceef56b
D prj-tree.adb		20140219144832 e0a65bac
D restrict.ads		20140122164720 5293cb95
D rident.ads		20120712104910 770cd2d0
D scans.ads		20140124144712 bebd53fa
D scng.ads		20140127165229 638213eb
D scng.adb		20140129155348 f25b4016
D sinfo.ads		20140225150201 4a23565b
D sinput.ads		20140120151301 c46c1677
D snames.ads		20141119215425 383b4c02
D stringt.ads		20140220134420 b6600148
D styleg.ads		20140219111205 8faf4546
D styleg.adb		20140219150543 8294d75a
D stylesw.ads		20140219111205 552dc895
D system.ads		20141119215827 90249111
D s-conca2.ads		20140225151544 02a0d7d0
D s-crc32.ads		20140225151544 e3a788c7
D s-exctab.ads		20140225151139 54135002
D s-finmas.ads		20140225151139 814daec4
D s-finroo.ads		20120426095924 4ff27390
D s-imenne.ads		20140225151139 614c06c0
D s-imgint.ads		20090409150019 02dbe0c2
D s-memory.ads		20140225151139 597d6634
D s-os_lib.ads		20140225151139 73b554c6
D s-parame.ads		20140225151139 ab8cb46a
D s-pooglo.ads		20110804083314 542f33d0
D s-rident.ads		20140225151139 fcbf2143
D s-secsta.ads		20140225151139 86a2856d
D s-soflin.ads		20140225151139 aa763b52
D s-stache.ads		20140225151139 a37c21ec
D s-stalib.ads		20140225151139 a891183f
D s-stoele.ads		20140225151139 2dc34a04
D s-stoele.adb		20140225151139 ed88f8fb
D s-stopoo.ads		20131010103753 b16154c2
D s-string.ads		20140225151139 8fe54fb7
D s-traent.ads		20140225151139 b5116092
D s-unstyp.ads		20140225151139 510692af
D s-utf_32.ads		20140225151139 9e89e9cc
D s-wchcon.ads		20140225151139 1b7d22d2
D table.ads		20090506081141 e3a9ec16
D table.adb		20130104091825 d2a5ae47
D tree_io.ads		20130910150933 92caaa96
D types.ads		20140129155348 09a1b5bd
D uintp.ads		20141119214236 2762e44a
D unchconv.ads		20070406091342 ca2f9e18
D unchdeal.ads		20070406091342 214516a4
D urealp.ads		20130411125352 e500ee51
D widechar.ads		20090409150019 3fd6363b
X 1 ada.ads
16K9*Ada 19e8 42|26r6 26r35
X 2 a-charac.ads
16K13*Characters 18e19 42|26r10 26r39
X 3 a-chahan.ads
36K24*Handling 160e28 42|26w21 26r50
51V13*Is_Digit{boolean} 42|195s26
68V13*To_Upper{character} 42|187s28
X 32 namet.ads
127a4*Name_Buffer{string} 42|187r38 189r28 194r18 195r36 233r16 238r28
133i4*Name_Len{natural} 42|176r22 185r24 199r29 219r22 219r33 232r24 245r20
155I9*Name_Id<integer> 42|74r47 79r23 87r36 131r47 161r23 206r36 261r25 296r27
. 784r39
159i4*No_Name{155I9} 42|299r22 447r49 448r65 593r68 788r34
242U14*Get_Name_String 42|172s10 212s10 230s10
248V13*Get_Name_String{string} 42|301s27 916s22
499I9*Path_Name_Type<155I9> 42|88r36 259r36
504i4*No_Path{499I9} 42|403r66
X 35 output.ads
44K9*Output 230e11 42|28w6 28r20 110r37 111r36 112r36 934r24 940r23 946r23
. 960r7 964r13 965r13 969r7
106U14*Write_Char 42|110r44 934r31
113U14*Write_Eol 42|111r43 940r30 969s14
130U14*Write_Str 42|112r43 946r30 964s20
137U14*Write_Line 42|960s14 965s20
X 36 prj.ads
42K9*Prj 2061e8 41|33r6 35r9 49r44 50r44 58r44 58r62 95r17 96r17 99r5 42|31r14
. 33r8 55r44 56r44 64r44 64r62 395r28 430r28 977r17 978r17 983r5
77n7*Unspecified{76E9} 42|379r27
82n7*Standard{76E9} 42|379r41
84n7*Library{76E9} 42|385r27
85n7*Configuration{76E9} 42|387r27
86n7*Dry{76E9} 42|389r27
87n7*Aggregate{76E9} 42|381r27
88n7*Aggregate_Library{76E9} 42|383r27
135P9*Project_Id(134R9) 41|58r48 42|64r48
136p4*No_Project{135P9} 41|58r66 42|64r66 395r32 430r32
1246i7*Display_Name{32|155I9} 42|396r38 431r38
X 41 prj-pp.ads
35K13*PP 36|42k9 41|89E14 99l9 99e11 42|31b18 983l9 983t11
40P9*Write_Char_Ap 40>44 54r44 42|60r44 110r20
40e44 C{character}
42P9*Write_Eol_Ap 55r44 42|61r44 111r20
44P9*Write_Str_Ap 44>43 56r44 42|62r44 112r20
44s43 S{string}
46I12*Max_Length_Of_Line{positive} 59r44 60r46 42|65r44 66r46
48U14*Pretty_Print 49>7 50>7 51>7 52>7 53>7 54>7 55>7 56>7 57>7 58>7 59>7
. 42|54b14 952l8 952t20 980s7
49i7 Project{43|101I9} 42|55b7 758r65 951r14
50p7 In_Tree{43|88P9} 42|56b7 296r65 362r33 366r59 371r57 375r54 378r52 398r50
. 403r54 406r49 411r57 418r49 423r56 425r54 433r50 437r57 447r37 448r53 451r60
. 454r63 463r60 465r52 472r59 477r53 483r63 486r58 493r51 494r55 499r54 502r47
. 504r59 510r65 511r28 514r50 517r60 522r56 525r58 529r61 533r61 537r50 539r60
. 545r54 548r47 555r52 560r58 563r61 573r53 577r57 579r45 582r48 588r54 591r57
. 593r56 596r59 599r48 602r51 611r52 612r50 618r63 622r61 623r31 627r66 632r64
. 633r34 638r63 642r61 643r31 648r60 653r53 658r54 660r47 663r53 663r63 666r47
. 669r53 673r54 675r47 677r47 680r53 685r66 690r50 700r46 708r56 714r64 726r54
. 728r57 728r67 733r54 735r57 735r67 740r47 745r55 747r58 749r56 757r54 758r53
. 761r57 761r67 764r57 767r60 767r70 771r57 773r57 773r67 781r57 785r66 803r61
. 806r68 813r65 818r60 822r62 827r55 832r68 836r54 839r60 843r64 848r59 855r64
. 859r57 863r52 869r61 873r68 885r52 890r68 909r48 916r62 921r55 925r46
51i7 Increment{positive} 42|57b7 169r34 181r37 209r34 224r37 249r37 330r34
. 345r40 418r68 426r31 487r34 523r38 530r37 534r38 550r40 828r37 837r58 844r41
. 886r34 895r51
52b7 Eliminate_Empty_Case_Constructions{boolean} 42|58b7 807r40 856r33
53b7 Minimize_Empty_Lines{boolean} 42|59b7 270r17 282r33
54p7 W_Char{40P9} 42|60b7 933r10 936r24
55p7 W_Eol{42P9} 42|61b7 939r10 942r23
56p7 W_Str{44P9} 42|62b7 945r10 948r23
57b7 Backward_Compatibility{boolean} 42|63b7 133r13 980r39
58p7 Id{36|135P9} 42|64b7 395r22 396r35 430r22 431r35
59i7 Max_Line_Length{46I12} 42|65b7 176r33 219r48 245r48 336r31 338r26
89U14 Output_Statistics 42|958b14 970l8 970t25
94U14 wpr 95>7 96>7 42|976b14 981l8 981t11
95i7 Project{43|101I9} 42|977b7 980r21
96p7 In_Tree{43|88P9} 42|978b7 980r30
X 42 prj-pp.adb
35a4 Not_Tested(boolean) 47m7 963r46
37U14 Indicate_Tested 37>31 45b14 48l8 48t23 365s33 440s33 480s33 492s33
. 497s33 544s33 576s33 587s33 657s22 672s33 683s33 699s33 703s33 725s33 743s33
. 755s33 796s33 853s33 907s33
37e31 Kind{43|118E9} 45b31 47r19
68U17 Print 68>24 68>48 358b17 371s22 375s19 417s19 423s19 424s19 437s19
. 451s25 472s25 477s19 485s22 493s19 494s19 499s19 517s22 522s22 528s25 533s22
. 539s22 545s19 573s19 588s19 612s22 653s19 658s19 666s19 669s19 673s19 677s19
. 680s19 689s25 700s19 712s25 745s19 749s22 818s25 821s25 826s25 837s31 843s25
. 847s25 859s22 872s31 884s22 895s28 925s19 928l11 928t16 951s7
68i24 Node{43|101I9} 358b24 360r22 362r27 366r53 371r51 375r48 378r46 398r44
. 403r48 406r43 411r51 416r46 418r43 423r50 425r48 433r44 437r51 447r31 448r47
. 451r54 454r57 463r54 465r46 471r52 472r53 477r47 483r57 486r52 493r45 494r49
. 499r48 502r41 504r53 510r59 514r44 516r49 517r54 521r49 522r50 525r52 529r55
. 533r55 537r44 539r54 545r48 548r41 555r46 572r46 573r47 577r51 579r39 582r42
. 588r48 591r51 593r50 596r53 599r42 602r45 611r46 612r44 618r57 622r55 627r60
. 632r58 638r57 642r55 648r54 652r46 653r47 658r48 660r41 663r47 666r41 668r46
. 669r47 673r48 675r41 677r41 679r46 680r47 685r60 700r40 708r50 726r48 728r51
. 733r48 735r51 740r41 745r49 747r52 749r50 757r48 758r47 761r51 764r51 767r54
. 771r51 773r51 781r51 785r60 803r55 818r54 822r56 825r52 827r49 832r62 843r58
. 848r53 855r58 859r51 863r46 869r55 883r49 885r46 890r62 909r42 916r56 921r49
. 925r40
68i48 Indent{natural} 358b48 371r67 375r64 376r31 382r53 384r61 386r51 388r57
. 390r52 393r45 396r52 398r60 404r49 407r47 412r25 415r40 418r59 423r66 426r22
. 427r31 428r41 431r52 433r60 437r67 451r70 452r37 457r54 460r48 463r70 466r45
. 470r44 472r69 477r63 487r25 493r61 494r65 499r64 500r31 501r45 502r57 507r49
. 512r25 513r41 514r60 515r41 517r70 520r43 523r29 530r28 534r29 535r34 536r44
. 537r60 539r70 545r64 546r31 547r42 548r57 550r31 551r38 561r28 566r48 571r39
. 573r63 577r67 580r43 583r25 588r64 589r31 590r41 591r67 594r42 597r25 600r46
. 603r28 606r41 609r42 612r60 624r28 629r47 634r31 644r28 647r41 648r70 651r38
. 653r63 658r64 659r31 660r57 661r40 664r22 665r41 666r57 667r38 669r63 673r64
. 674r31 675r57 676r41 677r57 678r38 680r63 689r38 693r49 700r56 704r38 712r44
. 717r48 722r38 729r25 730r41 736r25 737r41 740r57 744r47 745r65 748r42 749r66
. 752r38 762r25 765r44 768r28 774r25 777r47 780r38 781r67 789r45 790r47 791r44
. 818r70 819r37 820r48 823r28 824r46 828r28 837r49 844r32 845r37 848r69 859r67
. 860r34 861r45 864r49 872r45 876r55 882r43 886r25 895r42 913r31 914r39 917r22
. 925r56
74U17 Output_Attribute_Name 74>40 74>56 131b17 154l11 154t32 591s19 648s22
. 781s19
74i40 Name{32|155I9} 131b40 134r18 148r32 152r26
74i56 Indent{natural} 131b56 136r59 139r66 142r60 145r67 148r38 152r32
78U17 Output_Name 79>10 80>10 81>10 136s19 139s19 142s19 145s19 148s19 152s13
. 160b17 200l11 200t22 396s22 398s22 431s22 433s22 502s19 508s22 514s22 537s22
. 548s19 620s25 630s28 640s25 660s19 662s19 675s19 727s22 734s22 740s19 760s22
. 766s25 772s22
79i10 Name{32|155I9} 161b10 172r27
80i10 Indent{natural} 162b10 168r33 169r25 180r16 181r28
81b10 Capitalize{boolean} 163b10 165r31 192r16
84U17 Start_Line 84>29 169s13 181s16 209s13 224s16 249s16 268b17 274l11 274t21
. 330s13 345s19 376s19 427s19 452s25 500s19 535s22 546s19 550s19 589s19 659s19
. 674s19 819s25 845s25 860s22 913s19
84i29 Indent{natural} 268b29 271r30 272r32
87U17 Output_String 87>32 87>45 206b17 257l11 257t24 261s10 463s22 559s25
. 577s19 595s22 790s25
87i32 S{32|155I9} 206b32 212r27 230r27
87i45 Indent{natural} 206b45 208r33 209r25 223r16 224r28 249r28
88U17 Output_String 88>32 88>52 259b17 262l11 262t24 410s22
88i32 S{32|499I9} 259b32 261r34
88i52 Indent{natural} 259b52 261r38
91U17 Write_Empty_Line 91>35 280b17 289l11 289t27 372s22 419s19 488s22 498s19
. 540s22 817s25 858s22 893s28 910s22 922s22
91b35 Always{boolean} 280b35 282r14 372r40 419r37 488r40 498r37
96U17 Write_Line 96>29 304s10 311b17 317l11 317t21 436s19 538s22 549s19 846s25
. 919s19
96s29 S{string} 311b29 313r24
99U17 Write_String 100>10 101>10 102>10 300s13 301s13 313s10 323b17 352l11
. 352t23 382s25 384s25 386s25 388s25 390s25 393s19 404s22 407s25 415s19 428s19
. 457s28 460s25 466s25 470s25 501s19 507s22 513s22 515s22 520s22 536s22 547s19
. 551s19 566s28 571s19 580s22 581s22 590s19 594s22 600s25 601s25 606s22 609s19
. 629s28 647s22 651s19 661s19 665s19 667s19 676s19 678s19 693s28 704s19 717s28
. 722s19 730s22 737s22 744s19 748s22 752s19 765s25 777s22 780s19 789s25 791s25
. 820s25 824s25 861s22 864s25 876s34 882s22 914s19 915s19
100s10 S{string} 324b10 327r30 350r21 350r24 350r35
101i10 Indent{natural} 325b10 329r33 330r25 344r19 345r31
102b10 Truncated{boolean} 301r55 326b10 337r16 918r22
108U17 Write_End_Of_Line_Comment 108>44 295b17 305l11 305t36 416s19 471s25
. 516s22 521s22 572s19 652s19 668s19 679s19 825s25 883s22
108i44 Node{43|101I9} 295b44 296r59
110p7 Write_Char{41|40P9} 187r16 189r16 228r10 234r16 235r16 238r16 250r16
. 255r10 934m10 936m10
111p7 Write_Eol{41|42P9} 177r13 220r13 247r16 285r13 315r10 341r16 940m10
. 942m10
112p7 Write_Str{41|44P9} 246r16 271r13 350r10 946m10 948m10
115b7 Last_Line_Is_Empty{boolean} 283r25 287m13 314m10
118i7 Column{natural} 168r13 176r13 178m13 199m10 199r20 208r13 219r13 221m13
. 229m10 229r20 236m16 236r26 239m16 239r26 245r38 248m16 251m16 251r26 256m10
. 256r20 272m13 272r23 286m13 316m10 329r13 336r13 338r44 342m16 351m10 351r20
122b7 First_With_In_List{boolean} 370m22 450r25 467m25 473m25
165b10 Capital{boolean} 186r16 193m16
185i14 J{integer} 187r51 189r41 194r31 195r49
232i14 J{integer} 233r29 238r41 245r16
296i10 Value{32|155I9} 299r13 301r44
327i10 Length{natural} 336r22 338m16 350r45 351r29
554i22 String_Node{43|101I9} 558r37 560r45 562m25 563r48 565r37
685i22 Term{43|101I9} 688r37 689r32 690m25 690r44 692r37
707i22 Expression{43|101I9} 711r37 712r32 713m25 714r52 716r37
784i22 Index{32|155I9} 788r25 790r40
799i22 Case_Item{43|101I9} 803m22 804r37 806r57 813m25 813r54
800b22 Is_Non_Empty{boolean} 809m28 816r25
831i28 Case_Item{43|101I9} 834r43 836r43 837r38 838m31 839r49
868i28 Label{43|101I9} 871r43 872r38 873m31 873r61 875r43
889i25 First{43|101I9} 892r32 895r35
962e11 Kind{43|118E9} 963r13 963r58 965r57
X 43 prj-tree.ads
37K13*Tree 41|33w10 49r48 50r48 95r21 96r21 42|33r12 55r48 56r48 977r21 978r21
. 43|1535e13
88P9*Project_Node_Tree_Ref(87R9) 41|50r53 96r26 42|56r53 978r26
101I9*Project_Node_Id<integer> 41|49r53 95r26 42|55r53 68r31 108r51 295r51
. 358r31 554r36 685r29 707r35 799r37 831r40 868r36 889r42 977r26
105i4*Empty_Node{101I9} 42|455r30 505r24 526r27
118E9*Project_Node_Kind 42|35r24 37r38 45r38 962r19 965r32 43|138e17
119n7*N_Project{118E9} 42|364r21 365r50
120n7*N_With_Clause{118E9} 42|439r21 440r50
121n7*N_Project_Declaration{118E9} 42|479r21 480r50
122n7*N_Declarative_Item{118E9} 42|491r21 492r50
123n7*N_Package_Declaration{118E9} 42|496r21 497r50
124n7*N_String_Type_Declaration{118E9} 42|543r21 544r50
125n7*N_Literal_String{118E9} 42|575r21 576r50
126n7*N_Attribute_Declaration{118E9} 42|586r21 587r50
127n7*N_Typed_Variable_Declaration{118E9} 42|655r21 657r39
128n7*N_Variable_Declaration{118E9} 42|671r21 672r50
129n7*N_Expression{118E9} 42|682r21 683r50
130n7*N_Term{118E9} 42|698r21 699r50
131n7*N_Literal_String_List{118E9} 42|702r21 703r50
132n7*N_Variable_Reference{118E9} 42|724r21 725r50
133n7*N_External_Value{118E9} 42|742r21 743r50
134n7*N_Attribute_Reference{118E9} 42|754r21 755r50
135n7*N_Case_Construction{118E9} 42|795r21 796r50
136n7*N_Case_Item{118E9} 42|836r65 852r21 853r50
137n7*N_Comment_Zones{118E9} 42|900r21 963r21
138n7*N_Comment{118E9} 42|906r21 907r50
143V13*Present{boolean} 42|360s13 366s22 483s21 558s28 565s28 611s22 618s24
. 627s27 638s24 688s28 692s28 711s28 716s28 726s22 733s22 747s22 757s22 764s25
. 771s25 804s28 805s28 834s34 855s22 871s34 875s34
147V13*No{boolean} 42|863s25 892s28
265V13*Name_Of{32|155I9} 42|398s35 433s35 447s22 502s32 509s25 514s35 537s35
. 548s32 591s42 621s28 631s31 641s28 648s45 660s32 663s22 675s32 728s25 735s25
. 740s32 761s25 767s28 773s25 781s42
272V13*Kind_Of{118E9} 42|362s18 836s34
284V13*First_Comment_After{101I9} 42|418s22 472s32 522s29 573s26 653s26 669s26
. 680s26 827s28 885s25
289V13*First_Comment_After_End{101I9} 42|437s26 517s29 539s29 848s28
294V13*First_Comment_Before{101I9} 42|375s26 451s32 499s26 545s26 588s26
. 658s26 673s26 818s32 859s29
299V13*First_Comment_Before_End{101I9} 42|425s22 533s29 843s32
304V13*Next_Comment{101I9} 42|925s26
309V13*End_Of_Line_Comment{32|155I9} 42|296s38
314V13*Follows_Empty_Line{boolean} 42|909s22
319V13*Is_Followed_By_Empty_Line{boolean} 42|921s22
351V13*Is_Extending_All{boolean} 42|406s25
357V13*Is_Not_Last_In_List{boolean} 42|465s25
387V13*String_Value_Of{32|155I9} 42|448s30 463s37 560s28 577s34 916s39
395V13*Source_Index_Of{85|59I9} 42|579s22 582s25 599s25 602s28
401V13*First_With_Clause_Of{101I9} 42|366s31 371s29
407V13*Project_Declaration_Of{101I9} 42|423s26
413V13*Project_Qualifier_Of{36|76E9} 42|378s24
431V13*Extended_Project_Path_Of{32|499I9} 42|403s22 411s25
437V13*Project_Node_Of{101I9} 42|726s31 728s34 757s31 758s30 761s34
444V13*Non_Limited_Project_Node_Of{101I9} 42|454s28
452V13*Next_With_Clause_Of{101I9} 42|477s26
458V13*First_Declarative_Item_Of{101I9} 42|483s30 486s25 525s25 529s28 806s30
. 855s31 890s35
471V13*Current_Item_Node{101I9} 42|493s26
477V13*Next_Declarative_Item{101I9} 42|494s26
483V13*Project_Of_Renamed_Package_Of{101I9} 42|504s22 510s28
495V13*First_Literal_String{101I9} 42|555s24
507V13*Next_Literal_String{101I9} 42|563s27 873s40
513V13*Expression_Of{101I9} 42|611s31 612s29 666s26 677s26
520V13*Associative_Project_Of{101I9} 42|618s33 622s31
527V13*Associative_Package_Of{101I9} 42|627s36 632s34 638s33 642s31
542V13*Associative_Array_Index_Of{32|155I9} 42|593s22 596s25 785s32
556V13*First_Term{101I9} 42|685s48
562V13*Next_Expression_In_List{101I9} 42|714s27
568V13*Current_Term{101I9} 42|700s26
574V13*Next_Term{101I9} 42|690s33
580V13*First_Expression_In_List{101I9} 42|708s24
586V13*Package_Node_Of{101I9} 42|733s31 735s34 764s34 767s37 771s34 773s34
593V13*String_Type_Of{101I9} 42|663s31
600V13*External_Reference_Of{101I9} 42|745s26
606V13*External_Default_Of{101I9} 42|747s31 749s29
612V13*Case_Variable_Reference_Of{101I9} 42|822s28
618V13*First_Case_Item_Of{101I9} 42|803s35 832s42
624V13*First_Choice_Of{101I9} 42|863s29 869s38
631V13*Next_Case_Item{101I9} 42|813s38 839s33
X 52 snames.ads
34K9*Snames 42|29w6 135r21 136r32 138r21 139r32 141r21 142r32 144r21 145r32
. 52|2118e11
1127i4*Name_Body{32|155I9} 42|141r28
1244i4*Name_Body_Suffix{32|155I9} 42|144r28
1285i4*Name_Implementation{32|155I9} 42|142r39
1287i4*Name_Implementation_Suffix{32|155I9} 42|145r39
1383i4*Name_Spec{32|155I9} 42|135r28
1384i4*Name_Spec_Suffix{32|155I9} 42|138r28
1385i4*Name_Specification{32|155I9} 42|136r39
1387i4*Name_Specification_Suffix{32|155I9} 42|139r39
X 57 system.ads
64M9*Address
X 65 s-memory.ads
53V13*Alloc{57|64M9} 103i<c,__gnat_malloc>22
68U14*Free 104i<c,__gnat_free>22
76V13*Realloc{57|64M9} 105i<c,__gnat_realloc>22
X 85 types.ads
59I9*Int<integer>