This file is indexed.

/usr/lib/ada/adalib/gnatprj/ali.ali is in libgnatprj4.9-dev 4.9.2-1.

This file is owned by root:root, with mode 0o444.

The actual contents of the file can be viewed below.

  1
  2
  3
  4
  5
  6
  7
  8
  9
 10
 11
 12
 13
 14
 15
 16
 17
 18
 19
 20
 21
 22
 23
 24
 25
 26
 27
 28
 29
 30
 31
 32
 33
 34
 35
 36
 37
 38
 39
 40
 41
 42
 43
 44
 45
 46
 47
 48
 49
 50
 51
 52
 53
 54
 55
 56
 57
 58
 59
 60
 61
 62
 63
 64
 65
 66
 67
 68
 69
 70
 71
 72
 73
 74
 75
 76
 77
 78
 79
 80
 81
 82
 83
 84
 85
 86
 87
 88
 89
 90
 91
 92
 93
 94
 95
 96
 97
 98
 99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
V "GNAT Lib v4.9"
A -nostdinc
A -O2
A -fPIC
A -g
A -gnatn
A -mtune=generic
A -march=x86-64
P SS ZX

RN
RV NO_ALLOCATORS
RV NO_DIRECT_BOOLEAN_OPERATORS
RV NO_ENUMERATION_MAPS
RV NO_EXCEPTION_HANDLERS
RV NO_EXCEPTION_PROPAGATION
RV NO_EXCEPTIONS
RV NO_IMPLICIT_CONDITIONALS
RV NO_IMPLICIT_LOOPS
RV NO_LOCAL_ALLOCATORS
RV NO_RECURSION
RV NO_STANDARD_STORAGE_POOLS
RV NO_IMPLEMENTATION_ATTRIBUTES
RV NO_IMPLEMENTATION_PRAGMAS
RV NO_ELABORATION_CODE
RV NO_OBSOLESCENT_FEATURES
RV SPARK_05

U ali%b			ali.adb			3be38322 OO PK IU
W ada.exceptions%s	a-except.adb		a-except.ali
W butil%s		butil.adb		butil.ali
W debug%s		debug.adb		debug.ali
W fname%s		fname.adb		fname.ali
W interfaces%s		interfac.ads		interfac.ali
W opt%s			opt.adb			opt.ali
W osint%s		osint.adb		osint.ali
W output%s		output.adb		output.ali
W system%s		system.ads		system.ali
W system.exception_table%s  s-exctab.adb	s-exctab.ali
W system.img_enum_new%s	s-imenne.adb		s-imenne.ali
W system.standard_library%s  s-stalib.adb	s-stalib.ali

U ali%s			ali.ads			71a646f7 EE OO PK IU
W ada.exceptions%s	a-except.adb		a-except.ali
W casing%s		casing.adb		casing.ali
W gnat%s		gnat.ads		gnat.ali
W gnat.htable%s		g-htable.adb		g-htable.ali
W gnatvsn%s		gnatvsn.adb		gnatvsn.ali
W interfaces%s		interfac.ads		interfac.ali
W namet%s		namet.adb		namet.ali
W rident%s		rident.ads		rident.ali
W system%s		system.ads		system.ali
W table%s		table.adb		table.ali  AD
W types%s		types.adb		types.ali

D ada.ads		20070406091342 3ffc8e18
D a-except.ads		20140129161953 f86a4103
D a-unccon.ads		20070406091342 f9eb8f06
D a-uncdea.ads		20070406091342 f15a5ed1
D ali.ads		20121029110017 1a2e51b1
D ali.adb		20140129155348 5c204af8
D alloc.ads		20120330092659 daf1344a
D butil.ads		20070910124710 e13f5a98
D casing.ads		20100909094434 5c2a7161
D debug.ads		20090420131856 3ea59655
D fname.ads		20090409150019 913fd2bc
D gnat.ads		20110801150502 fd2ad2f1
D g-htable.ads		20140225151544 4b643b8d
D gnatvsn.ads		20140103084216 ea9d687f
D hostparm.ads		20130411123322 c164ed60
D interfac.ads		20140225151838 69adb1b9
D namet.ads		20140129155348 0b5fbeae
D namet.adb		20130412134103 e67181d4
D opt.ads		20140225154805 0424f3ab
D osint.ads		20140225150201 1af80c1c
D output.ads		20140219102553 2d9479ad
D rident.ads		20120712104910 770cd2d0
D system.ads		20141119215827 90249111
D s-conca2.ads		20140225151544 02a0d7d0
D s-exctab.ads		20140225151139 54135002
D s-exctab.adb		20140225151139 05502b19
D s-htable.ads		20140225151139 84c2b3ea
D s-htable.adb		20140225151139 90376e52
D s-imenne.ads		20140225151139 614c06c0
D s-memory.ads		20140225151139 597d6634
D s-os_lib.ads		20140225151139 73b554c6
D s-parame.ads		20140225151139 ab8cb46a
D s-rident.ads		20140225151139 fcbf2143
D s-secsta.ads		20140225151139 86a2856d
D s-soflin.ads		20140225151139 aa763b52
D s-stache.ads		20140225151139 a37c21ec
D s-stalib.ads		20140225151139 a891183f
D s-stoele.ads		20140225151139 2dc34a04
D s-stoele.adb		20140225151139 ed88f8fb
D s-strhas.ads		20090623093900 269cd894
D s-string.ads		20140225151139 8fe54fb7
D s-traent.ads		20140225151139 b5116092
D s-unstyp.ads		20140225151139 510692af
D s-wchcon.ads		20140225151139 1b7d22d2
D table.ads		20090506081141 e3a9ec16
D table.adb		20130104091825 d2a5ae47
D tree_io.ads		20130910150933 92caaa96
D types.ads		20140129155348 09a1b5bd
D unchconv.ads		20070406091342 ca2f9e18
D unchdeal.ads		20070406091342 214516a4
D widechar.ads		20090409150019 3fd6363b
X 5 ali.ads
39K9*ALI 1075l5 1075e8 6|33b14 2767l5 2767t8
49I9*ALI_Id<integer> 79r25 79r35 82r31 232r30 261r16 722r18 1022r51 6|137r51
. 141r19
52I9*Unit_Id<integer> 112r20 115r19 244r26 244r37 247r32 388r30 624r14 665r14
. 6|1738r37 1770r49 1772r52
55I9*With_Id<integer> 316r20 319r19 538r26 538r37 541r32 579r30
58I9*Arg_Id<integer> 322r19 325r18 593r25 593r35 596r31 601r30 6|144r19
61I9*Sdep_Id<integer> 118r20 121r19 746r26 746r37 749r23 787r30 814r18 868r23
. 890r23 919r23 956r18 6|246r29 248r32 664r29 666r33 696r31 2413r32 2417r32
. 2448r39 2462r30 2518r27 2565r32 2655r30
64I9*Source_Id<integer>
67I9*Interrupt_State_Id<integer> 206r31 207r31 416r30 417r30
70I9*Priority_Specific_Dispatching_Id<integer> 214r36 215r36 450r30 451r30
79i4*No_ALI_Id{49I9} 82r41 6|2739r17
82i4*First_ALI_Entry{49I9} 233r30
85E9*Main_Program_Type 85e48 124r22
85n31*None{85E9} 6|886r41
85n37*Proc{85E9} 6|957r48
85n43*Func{85E9} 6|955r48
88R9*ALIs_Record 222e14 231r30
90i7*Afile{17|482I9} 6|75r46 872m9
93i7*Ofile_Full_Name{17|482I9} 6|889m9
96i7*Sfile{17|482I9} 6|894m9 2208m23
101a7*Ver{string} 6|900m9 932m29
105i7*Ver_Len{natural} 6|901m9 933m29
109b7*SAL_Interface{boolean} 6|893m9 1157m35 1712r60
112i7*First_Unit{52I9} 6|877m9 1681r29 1682m29 2208r61 2212r26 2213r39
115i7*Last_Unit{52I9} 6|882m9 2207m23 2212r56 2214r39
118i7*First_Sdep{61I9} 6|875m9 2265m23
121i7*Last_Sdep{61I9} 6|880m9 2378m23
124e7*Main_Program{85E9} 6|886m9 955m32 957m32
128i7*Main_Priority{48|59I9} 6|884m9 967m35
134i7*Main_CPU{48|59I9} 6|885m9 991m35
140i7*Time_Slice_Value{48|59I9} 6|896m9 975m35
145b7*Allocator_In_Body{boolean} 6|897m9 983m35
149e7*WC_Encoding{character} 6|898m9 998m32
153e7*Locking_Policy{character} 6|883m9 1111m32
159e7*Partition_Elaboration_Policy{character} 6|890m9 1098m32
165e7*Queuing_Policy{character} 6|891m9 1147m32
171e7*Task_Dispatching_Policy{character} 6|895m9 1174m32
178b7*Compile_Errors{boolean} 6|873m9 1086m32
183e7*Float_Format{character} 6|878m9 1105m32
187b7*No_Object{boolean} 6|887m9 1121m35
191b7*Normalize_Scalars{boolean} 6|888m9 1133m35
195b7*Unit_Exception_Table{boolean} 6|899m9 1190m35
199b7*Zero_Cost_Exceptions{boolean} 6|902m9 1204m35
203r7*Restrictions{33|308R9[22|49]} 6|892m9 1320m47 1325m47 1348m47 1349m47
. 1363m47 1366m47 1404m50 1447m38 1451m38 1470m41 1475m44 1499m38 1506m41
. 1536m44 1565m35
206i7*First_Interrupt_State{67I9} 6|874m9
207i7*Last_Interrupt_State<integer> 6|879m9 1620m32
214i7*First_Specific_Dispatching{70I9} 6|876m9
215i7*Last_Specific_Dispatching<integer> 6|881m9 1659m32
224i4*No_Main_Priority{48|59I9}
227i4*No_Main_CPU{48|59I9}
230K12*ALIs[45|55] 6|74r16 74r30 75r31 90r7 867r7 868r13 871r7 932r13 933r13
. 955r16 957r16 967r19 975r19 983r19 991r19 998r16 1086r16 1098r16 1105r16
. 1111r16 1121r19 1133r19 1147r16 1157r19 1174r16 1190r19 1204r19 1320r31
. 1325r31 1348r31 1349r31 1363r31 1366r31 1404r34 1447r22 1451r22 1470r25
. 1475r28 1499r22 1506r25 1536r28 1565r19 1620r16 1659r16 1681r13 1682r13
. 1712r44 2207r7 2208r7 2208r45 2212r10 2212r40 2213r23 2214r23 2265r7 2378r7
244i4*No_Unit_Id{52I9} 247r43 6|877r41 882r41 1681r42
247i4*First_Unit_Entry{52I9} 389r30
250E9*Unit_Type 250e68 328r15
250n23*Is_Spec{250E9} 6|2214r60
250n32*Is_Body{250E9} 6|2213r60
250n41*Is_Spec_Only{250E9} 6|2226r47
250n55*Is_Body_Only{250E9} 6|2224r47
256A12*Version_String{string}<integer> 339r17
259R9*Unit_Record 384e14 387r30 6|1686r18
261i7*My_ALI{49I9} 6|1692m16
264i7*Uname{17|507I9} 6|79r47 1689m16 1723r36 1734r64 1763r61 1770r65 1782r38
. 2221r52
267i7*Sfile{17|482I9} 6|1693m16 1725r31 1737r49 1738r53 1765r64 1772r68 2208r73
270b7*Preelab{boolean} 6|1695m16 1911m44
273b7*No_Elab{boolean} 6|1696m16 1897m44
277b7*Pure{boolean} 6|1694m16 1913m44
280b7*Dynamic_Elab{boolean} 6|1705m16 1825m44 1975r42
285b7*Elaborate_Body{boolean} 6|1706m16 1837m44
289b7*Set_Elab_Entity{boolean} 6|1707m16 1839m44
294b7*Has_RACW{boolean} 6|1700m16 1945m44
298b7*Remote_Types{boolean} 6|1699m16 1943m44
302b7*Shared_Passive{boolean} 6|1697m16 1956m44
306b7*RCI{boolean} 6|1698m16 1941m44
310b7*Predefined{boolean} 6|1690m16
313b7*Internal{boolean} 6|1691m16 1976r50
316i7*First_With{55I9} 6|1709m16
319i7*Last_With{55I9} 6|2072m35
322i7*First_Arg{58I9} 6|1710m16
325i7*Last_Arg{58I9} 6|2073m35
328e7*Utype{250E9} 6|2213m51 2214m50 2224m38 2226m38
331b7*Is_Generic{boolean} 6|1702m16 1853m44
335e7*Unit_Kind{character} 6|1915m44 1958m44
339a7*Version{256A12} 6|1708m16 1794m41 1798m44
342e7*Icasing{9|47E9} 6|1703m16 1864m44 1869m44
347e7*Kcasing{9|47E9} 6|1704m16 1882m44 1884m44
352i7*Elab_Position{natural} 6|1711m16
357b7*Init_Scalars{boolean} 6|1701m16 1866m44
361b7*SAL_Interface{boolean} 6|1712m16
364b7*Directly_Scanned{boolean} 6|1713m16
367b7*Body_Needed_For_SAL{boolean} 6|1714m16 1812m44
371b7*Elaborate_Body_Desirable{boolean} 6|1715m16 1808m44
378e7*Optimize_Alignment{character} 6|1716m16 1928m44
381b7*Has_Finalizer{boolean} 6|1717m16 1909m44
386K12*Units[45|55] 6|78r16 78r31 79r31 92r7 1679r10 1682r43 1686r38 1686r51
. 1721r32 1734r39 1734r52 1737r24 1737r37 1738r24 1763r36 1763r49 1765r39
. 1765r52 1770r36 1772r39 1782r13 1782r26 1782r50 1794r16 1794r29 1798r19
. 1798r32 1808r19 1808r32 1812r19 1812r32 1825r19 1825r32 1837r19 1837r32
. 1839r19 1839r32 1853r19 1853r32 1864r19 1864r32 1866r19 1866r32 1869r19
. 1869r32 1882r19 1882r32 1884r19 1884r32 1897r19 1897r32 1909r19 1909r32
. 1911r19 1911r32 1913r19 1913r32 1915r19 1915r32 1928r19 1928r32 1941r19
. 1941r32 1943r19 1943r32 1945r19 1945r32 1956r19 1956r32 1958r19 1958r32
. 1975r17 1975r30 1976r25 1976r38 2072r10 2072r23 2073r10 2073r23 2156r15
. 2182r56 2207r36 2208r32 2213r10 2214r10 2221r27 2221r40 2224r13 2224r26
. 2226r13 2226r26
403R9*Interrupt_State_Record 412e14 415r30
404i7*Interrupt_Id{48|62I12} 6|1616m19
407e7*Interrupt_State{character} 6|1617m19
410i7*IS_Pragma_Line{48|62I12} 6|1618m19
414K12*Interrupt_States[45|55] 6|874r41 879r41 1615r16 1620r56
432R9*Specific_Dispatching_Record 446e14 449r30
433e7*Dispatching_Policy{character} 6|1654m19
436i7*First_Priority{48|62I12} 6|1655m19
440i7*Last_Priority{48|62I12} 6|1656m19
444i7*PSD_Pragma_Line{48|62I12} 6|1657m19
448K12*Specific_Dispatching[45|55] 6|876r41 881r41 1653r16 1660r18
466b4*Dynamic_Elaboration_Checks_Specified{boolean} 6|110m7 1826m19
470e4*Float_Format_Specified{character} 6|111m7 1104m16 1105r48
475b4*Initialize_Scalars_Used{boolean} 6|1867m19
478e4*Locking_Policy_Specified{character} 6|112m7 1110m16 1111r50
482b4*No_Normalize_Scalars_Specified{boolean} 6|113m7 1219m13
486b4*No_Object_Specified{boolean} 6|114m7 1122m19
490b4*Normalize_Scalars_Specified{boolean} 6|115m7 1134m19
494e4*Partition_Elaboration_Policy_Specified{character} 6|116m7 1097m16 1099r18
499e4*Queuing_Policy_Specified{character} 6|117m7 1146m16 1147r50
503r4*Cumulative_Restrictions{33|308R9[22|49]} 6|1255r52 1322m31 1326m31
. 1351r34 1352m34 1354r39 1356m34 1357m34 1365m31 1371m34 1373r39 1382m37
. 1383r39 1393m40 1395m40 1406m34 1448m22 1452m22 1477r31 1478m31 1480r36
. 1482m31 1483m31 1500m22 1509m28 1511r33 1518m31 1519r33 1528m34 1530m34
. 1537m28 1564m19
508b4*Stack_Check_Switch_Set{boolean} 6|1038m16
512b4*Static_Elaboration_Model_Used{boolean} 6|118m7 1978m13
517e4*Task_Dispatching_Policy_Specified{character} 6|119m7 1173m16 1175r18
522b4*Unreserve_All_Interrupts_Specified{boolean} 6|120m7 1185m19
526b4*Zero_Cost_Exceptions_Specified{boolean} 6|121m7 1205m19
538i4*No_With_Id{55I9} 541r43
541i4*First_With_Entry{55I9} 580r30
544R9*With_Record 575e14 578r30
546i7*Uname{17|507I9} 6|1996m41
549i7*Sfile{17|482I9} 6|2009m44 2015m44
552i7*Afile{17|482I9} 6|2010m44 2017m44
555b7*Elaborate{boolean} 6|1997m41 2041m53
558b7*Elaborate_All{boolean} 6|1998m41 2046m53
561b7*Elab_All_Desirable{boolean} 6|2000m41 2033m53
564b7*Elab_Desirable{boolean} 6|1999m41 2055m56
567b7*SAL_Interface{boolean} 6|2001m41
570b7*Limited_With{boolean} 6|2002m41
573b7*Implicit_With_From_Instantiation{boolean} 6|2003m41
577K12*Withs[45|55] 6|93r7 1709r44 1995r16 1996r16 1996r29 1997r16 1997r29
. 1998r16 1998r29 1999r16 1999r29 2000r16 2000r29 2001r16 2001r29 2002r16
. 2002r29 2003r16 2003r29 2009r19 2009r32 2010r19 2010r32 2015r19 2015r32
. 2017r19 2017r32 2033r28 2033r41 2041r28 2041r41 2046r28 2046r41 2055r31
. 2055r44 2072r48
593i4*No_Arg_Id{58I9} 596r41
596i4*First_Arg_Entry{58I9} 602r30
599K12*Args[45|55] 6|84r16 84r30 85r16 1009r20 1043r13 1044r13 1044r25 2073r48
619R9*Linker_Option_Record 636e14 642r30
620i7*Name{17|155I9} 6|2152m56
624i7*Unit{52I9} 6|2155m56
627b7*Internal_File{boolean} 6|2158m56
632i7*Original_Pos{positive} 6|2161m56
641K12*Linker_Options[45|55] 6|95r7 104r7 2150r13 2152r13 2152r35 2155r13
. 2155r35 2158r13 2158r35 2161r13 2161r35 2162r15
655R9*Notes_Record 673e14 680r30
656e7*Pragma_Type{character} 6|2178m41
659i7*Pragma_Line{48|62I12} 6|2179m41
662i7*Pragma_Col{48|62I12} 6|2181m41
665i7*Unit{52I9} 6|2182m41
668i7*Pragma_Args{17|155I9} 6|2185m44 2195m44
679K12*Notes[45|55] 6|96r7 105r7 2177r16 2178r16 2178r29 2179r16 2179r29
. 2181r16 2181r29 2182r16 2182r29 2185r19 2185r32 2195r19 2195r32
697I9*Vindex<short_short_integer> 700r43 707r20 6|2755r43 2764r14 2764r22
. 2764r37 2764r51
700V13*SHash{697I9} 700>20 711r20 6|2755b13 2765l8 2765t13
700p20 S{48|113P9} 6|2755b20 2760r16 2761r38
703V13*SEq{boolean} 703>18 703>22 712r20 6|2746b13 2749l8 2749t11
703p18 F1{48|113P9} 6|2746b18 2748r14
703p22 F2{48|113P9} 6|2746b22 2748r23
706K12*Version_Ref[27|70] 6|100r7 2256r13
721R9*No_Dep_Record 727e14 730r30
722i7*ALI_File{49I9}
725i7*No_Dep_Unit{17|155I9}
729K12*No_Deps[45|55] 6|91r7 1588r13
746i4*No_Sdep_Id{61I9} 749r34 6|687r35 739r32 875r41 880r41 2528r42 2555r39
. 2556r39 2609r56
749i4*First_Sdep_Entry{61I9} 788r30 6|696r49 837m7 2417r56 2462r48 2518r45
. 2655r48
754R9*Sdep_Record 783e14 786r30
756i7*Sfile{17|482I9} 6|2284m36 2333r38
759a7*Stamp{48|607A9} 6|2287m36 2289r39
763m7*Checksum{48|68M9} 6|2323m42
767b7*Dummy_Entry{boolean} 6|2288m36
771i7*Subunit_Name{17|155I9} 6|2331m36 2351m42
774i7*Rfile{17|482I9} 6|2332m36 2368m42
778i7*Start_Line{48|62I12} 6|2334m36 2359m42
785K12*Sdep[45|55] 6|94r7 837r27 2265r37 2277r13 2284r13 2284r25 2287r13
. 2287r25 2288r13 2288r25 2289r16 2289r28 2323r19 2323r31 2331r13 2331r25
. 2332r13 2332r25 2333r15 2333r27 2334r13 2334r25 2351r19 2351r31 2359r19
. 2359r31 2368r19 2368r31 2378r36
813R9*Xref_Section_Record 825e14 828r30 6|2410r18
814i7*File_Num{61I9} 6|2417m16 2421r36 2532r42
817i7*File_Name{17|482I9} 6|2418m16
820i7*First_Entity{48|62I12} 6|2419m16
823i7*Last_Entity{48|62I12} 6|2721m16
827K12*Xref_Section[45|55] 6|97r7 2407r10 2411r20 2411r40
838E9*Tref_Kind 842e16 886r14 6|247r32 665r33 2564r32
839n6*Tref_None{838E9} 6|678r35 683r20 2557r39 2574r41
840n6*Tref_Access{838E9} 6|676r35
841n6*Tref_Derived{838E9} 6|675r35 2578r34 2586r37 2607r37
842n6*Tref_Type{838E9} 6|677r35
844E9*Visibility_Kind 847e13 862r20
845n7*Global{844E9} 6|2498r42
846n7*Static{844E9} 6|2500r42
847n7*Other{844E9} 6|2502r42
851R9*Xref_Entity_Record 936e14 939r30 6|2434r24
852i7*Line{48|65I12} 6|2492m22
855e7*Etype{character} 6|2493m22 2586r62
859i7*Col{48|65I12} 6|2494m22
862e7*Visibility{844E9} 6|2498m28 2500m28 2502m28
865i7*Entity{17|155I9} 6|2505m22
868i7*Iref_File_Num{61I9} 6|2514m28 2517m28 2528m25
873i7*Iref_Line{48|62I12} 6|2515m28 2520m28 2529m25
878i7*Rref_Line{48|62I12} 6|2538m25 2549m25
882i7*Rref_Col{48|62I12} 6|2544m25 2550m25
886e7*Tref{838E9} 6|2557m22 2624m31
890i7*Tref_File_Num{61I9} 6|2556m22 2609r39 2625m31
896i7*Tref_Line{48|62I12} 6|2626m31
901e7*Tref_Type{character} 6|2627m31
907i7*Tref_Col{48|62I12} 6|2628m31
912i7*Tref_Standard_Entity{17|155I9} 6|2629m31
919i7*Oref_File_Num{61I9} 6|2555m22 2579m31
924i7*Oref_Line{48|62I12} 6|2580m31
925i7*Oref_Col{48|62I12} 6|2581m31
929i7*First_Xref{48|62I12} 6|2558m22
932i7*Last_Xref{48|62I12} 6|2696m22
938K12*Xref_Entity[45|55] 6|98r7 2419r32 2431r16 2435r26 2435r45 2707r25
. 2721r31
946e4*Array_Index_Reference{character} 6|2597r46
947e4*Interface_Reference{character} 6|2618r46
955R9*Xref_Record 996e14 999r30 6|2454r30 2593r36 2614r36 2648r30
956i7*File_Num{61I9} 6|2461m31 2463r49 2468m31 2595m34 2616m34 2654m31 2656r51
. 2660m31
961i7*Line{48|62I12} 6|2471m28 2596m34 2617m34 2663m28
965e7*Rtype{character} 6|2472m28 2597m34 2618m34 2664m28
978i7*Col{48|62I12} 6|2473m28 2598m34 2619m34 2686m28
981i7*Name{17|155I9} 6|2599m34 2620m34
993i7*Imported_Lang{17|155I9} 6|2671m31 2682m31
994i7*Imported_Name{17|155I9} 6|2676m31 2683m31
998K12*Xref[45|55] 6|99r7 2451r22 2454r50 2454r62 2558r39 2590r28 2593r56
. 2593r68 2611r28 2614r56 2614r68 2645r22 2648r50 2648r62 2696r35
1010U14*Initialize_ALI 6|67b14 122l8 122t22
1013V13*Scan_ALI{49I9} 1014>7 1015>7 1016>7 1017>7 1018>7 1019>7 1020>7 1021>7
. 1022>7 6|128b13 2740l8 2740t16
1014i7 F{17|482I9} 6|129b7 380r22 869r28 872r41 2159r38
1015p7 T{48|150P9} 6|130b7 139r42 396r23 397r21 398r21 417r23 418r21 419r21
. 421r20 431r16 569r53 574r53 753r17 757r20 767r17 829r18
1016b7 Ignore_ED{boolean} 6|131b7 2032r32 2054r35
1017b7 Err{boolean} 6|132b7 374r13 1750r19
1018b7 Read_Xref{boolean} 6|133b7 841r10
1019s7 Read_Lines{string} 6|134b7 847r13 850r19 851r21
1020s7 Ignore_Lines{string} 6|135b7 859r19 860r28 861r21
1021b7 Ignore_Errors{boolean} 6|136b7 294r16 320r19 338r16 452r17 499r16
. 513r22 632r16 779r16 1057r13 1231r13 1563r19 2706r25
1022b7 Directly_Scanned{boolean} 6|137b7 1713r44
X 6 ali.adb
42a4 Known_ALI_Lines(boolean) 311r24
74i11 J<integer> 75r43
78i11 J<integer> 79r44
84i11 J<integer> 85r28
139i7 P{48|144I9} 296m19 296r24 337m13 337r18 339m13 339r18 395r18 430r23
. 530m25 530r30 542m13 542r18 569r56 574r56 684m13 684r18 697m19 697r24 733m13
. 733r18 753r13 756m13 756r18 757r23 767r20 781m19 781r24 795m13 795r18 806m13
. 806r18 819m13 819r18 829r13 830m13 830r18 959m16 959r21 973m19 973r24 981m19
. 981r24 989m19 989r24 2026m25 2026r30 2038m25 2038r30 2044m28 2044r33 2319m19
. 2319r24 2457m25 2457r30 2464m28 2464r33 2485m25 2485r30 2537m22 2537r27
. 2642m25 2642r30 2657m28 2657r33
140i7 Line{48|161I9} 403r26 406r13 410r13 792m16 792r24
141i7 Id{5|49I9} 868m7 869r36 871r19 932r25 933r25 955r28 957r28 967r31 975r31
. 983r31 991r31 998r28 1086r28 1098r28 1105r28 1111r28 1121r31 1133r31 1147r28
. 1157r31 1174r28 1190r31 1204r31 1320r43 1325r43 1348r43 1349r43 1363r43
. 1366r43 1404r46 1447r34 1451r34 1470r37 1475r40 1499r34 1506r37 1536r40
. 1565r31 1588r30 1620r28 1659r28 1681r25 1682r25 1692r44 1712r56 2207r19
. 2208r19 2208r57 2212r22 2212r52 2213r35 2214r35 2265r19 2378r19 2383r17
. 2396r17 2735r14
142e7 C{character} 310r16 311r41 313r16 313r31 315m16 317r19 322m16 908m7
. 913r10 930m13 931r23 932r40 939m7 944r10 952m13 954r16 956r19 1004m10 1013r27
. 1049m10 1056r13 1058r16 1062m16 1080m13 1084r16 1090r19 1096r19 1103r19
. 1109r19 1115r19 1116m16 1120r19 1126r22 1132r22 1145r19 1151r19 1152m16
. 1156r19 1161r22 1172r19 1179r19 1180m16 1184r19 1189r22 1200r19 1201m16
. 1203r19 1213m16 1225m7 1230r13 1232r16 1236m16 1246r16 1248m13 1270m16
. 1274r22 1431m19 1443m19 1445r24 1550m19 1569m22 1570r32 1583r13 1592m10
. 1599r13 1625m10 1632r13 1666m10 1673r27 1789m13 1793r16 1793r40 1794r56
. 1797m19 1798r59 1803r19 1804m16 1806r19 1810r22 1820r19 1821m16 1823r19
. 1833r19 1834m16 1836r19 1838r22 1848r19 1849m16 1851r19 1860r19 1861m16
. 1863r19 1865r22 1868r22 1878r19 1879m16 1881r19 1883r22 1893r19 1894m16
. 1896r19 1905r19 1906m16 1908r19 1910r22 1912r22 1914r22 1924r19 1925m16
. 1927r19 1927r35 1927r51 1927r67 1928r66 1937r19 1938m16 1940r19 1942r22
. 1944r22 1952r19 1953m16 1955r19 1957r22 1966m16 1981m10 1987r33 1987r51
. 1987r69 2002r64 2004r64 2069m13 2081r43 2092m19 2094r22 2095r29 2099r25
. 2100m22 2108m28 2110r31 2112r53 2115r34 2117r53 2131r25 2133m25 2136r47
. 2144m13 2169r34 2201m13 2234r27 2246m16 2248r19 2253r41 2260m10 2269r27
. 2375m10 2382r10 2388r10 2403r27 2426m13 2430r19 2430r37 2697m19 2709m25
. 2725m10
143b7 NS_Found{boolean} 1075m10 1135m19 1218r17
144i7 First_Arg{5|58I9} 1009m7 1710r44
146a7 Ignore(boolean) 842m10 848m10 851m13 857m10 861m13 921r13 945r13 1015r13
. 1069r10 1245r10 1584r13 1600r13 1633r13 1989r16 2083r16 2171r16 2236r13
. 2271r13 2395r10
151X7 Bad_ALI_Format 375r19 2700r24 2738r12
154V16 At_Eol{boolean} 282b16 285l11 285t17 498s13 512s19 523s22 631s13 778s17
. 780s26 805s20 965s20 1024s23 1077s20 1281s29 1335s28 1415s32 1425s26 1546s23
. 1788s23 2008s19 2022s29 2184s19 2191s29 2304s29 2336s20 2639s25
157V16 At_End_Of_Field{boolean} 273b16 276l11 276t26 293s17 546s26 613s23
. 2040s28 2252s26 2322s36 2343s29 2364s29
160U17 Check_At_End_Of_Field 291b17 302l11 302t32 1807s19 1811s19 1824s19
. 1844s16 1852s19 1874s16 1889s16 1898s19 1920s16 1933s16 1950s16 1963s16
. 2028s25 2045s28 2050s28
163U17 Checkc 163>25 334b17 343l11 343t17 925s10 927s10 949s13 974s19 982s19
. 990s19 996s16 997s16 1019s13 1078s13 1085s16 1091s16 1276s19 1437s16 1644s16
. 1677s10 1993s16 2027s25 2049s28 2087s16 2089s16 2126s25 2175s16 2180s16
. 2188s19 2240s13 2275s13 2360s19
163e25 C{character} 334b25 336r21
166U17 Check_Unknown_Line 308b17 328l11 328t29 909s7 940s7 1012s10 1054s7
. 1226s7 1597s7 1630s7 1672s10 1986s13 2080s13 2168s13 2233s10 2268s10 2386s7
. 2402s10 2733s7
178U17 Fatal_Error 299s16 325s16 341s13 349b17 444l11 444t22 453s13 502s16
. 516s22 606s13 635s16 784s16 919s10 960s16 1059s16 1065s13 1233s16 1239s13
. 1576s19 2061s25 2249s19 2325s19 2389s10 2524s25 2541s25
182U17 Fatal_Error_Ignore 183r22 450b17 455l11 455t29 1140s19 1167s19 1195s19
. 1207s19 1214s16 1815s19 1828s19 1841s19 1855s19 1871s19 1886s19 1900s19
. 1917s19 1930s19 1947s19 1960s19 1967s16 2097s22 2122s31
186V16 Getc{character} 322s21 506s18 520s24 527s30 544s41 611s43 653s22 704s35
. 751b16 759l11 759t15 908s12 930s18 939s12 952s18 998s47 1004s15 1025s41
. 1049s15 1080s18 1097s58 1104s42 1110s44 1116s21 1146s44 1152s21 1173s53
. 1180s21 1201s21 1213s21 1225s12 1248s18 1270s21 1275s26 1283s48 1431s24
. 1443s24 1465s24 1493s24 1550s24 1569s27 1592s15 1612s27 1625s15 1647s26
. 1666s15 1789s18 1797s24 1804s21 1821s21 1834s21 1849s21 1861s21 1879s21
. 1894s21 1906s21 1925s21 1938s21 1953s21 1966s21 1981s15 2069s18 2092s24
. 2108s33 2133s30 2144s18 2178s56 2192s47 2201s18 2246s21 2260s15 2344s47
. 2365s47 2375s15 2493s31 2496s24 2523s25 2540s25 2664s37 2725s15
189V16 Get_File_Name{17|482I9} 190>10 191>10 461b16 481l11 481t24 1693s44
. 2015s53 2017s53 2285s15 2418s32
190b10 Lower{boolean} 462b10 475r13 1693r59 2016r56 2018r56
191b10 May_Be_Quoted{boolean} 463b10 469r43 2285r30
203V16 Get_Name{17|155I9} 204>10 205>10 206>10 468s15 487b16 581l11 581t19
. 589s33 691s35 1588s34 2505s32 2671s48 2676s48
204b10 Ignore_Spaces{boolean} 488b10 546r55 691r45
205b10 Ignore_Special{boolean} 468r25 489b10 548r23
206b10 May_Be_Quoted{boolean} 469r25 490b10 510r13
230V16 Get_Nat{48|62I12} 596b16 618l11 618t18 693s21 698s24 705s35 967s52
. 975s55 991s47 1341s39 1473s61 1503s58 1610s27 1613s27 1649s30 1650s29 1651s27
. 2179s56 2181s56 2359s56 2417s41 2458s30 2465s33 2492s31 2494s31 2511s27
. 2520s41 2538s38 2544s37 2651s30 2658s33 2686s37
234V16 Get_Stamp{48|607A9} 624b16 657l11 657t20 2287s45
237V16 Get_Unit_Name{17|507I9} 587b16 590l11 590t24 1689s44 1996s63
242V16 Nextc{character} 275s17 284s17 284s37 284s56 295s22 315s21 336s13
. 556s32 556s52 557s32 557s52 558s32 558s52 559s32 563s32 569s32 574s32 605s18
. 614s23 614s43 641s13 674s15 686s16 695s19 718s24 765b16 768l11 768t16 790s16
. 790s37 791s16 818s16 818s36 966s19 972s19 980s19 988s19 1062s21 1236s21
. 1268s16 1274s39 1281s45 1335s43 1402s34 1534s28 2025s25 2037s28 2043s31
. 2132s35 2306s22 2308s46 2310s25 2312s46 2341s19 2358s19 2426s18 2460s28
. 2477s28 2509s22 2513s25 2536s22 2641s35 2653s28 2669s28 2673s43 2678s43
. 2688s28 2697s24 2709s30
245U17 Get_Typeref 246>10 247<10 248<10 249<10 250<10 251<10 252<10 663b17
. 745l11 745t22 2572s25
246i10 Current_File_Num{5|61I9} 664b10 700r31
247e10 Ref{5|838E9} 665b10 675m28 676m28 677m28 678m28 683r13
248i10 File_Num{5|61I9} 666b10 687m16 696m19 700m19 739m13
249i10 Line{48|62I12} 667b10 688m16 703m16 740m13
250e10 Ref_Type{character} 668b10 689m16 704m16 741m13
251i10 Col{48|62I12} 669b10 690m16 705m16 742m13
252i10 Standard_Entity{17|155I9} 670b10 691m16 706m16 743m13
255U17 Skip_Eol 774b17 797l11 797t19 809s10 936s10 1001s13 1046s13 1222s10
. 1568s22 1589s13 1621s16 1662s16 1971s10 2066s16 2141s16 2198s16 2257s13
. 2372s13 2425s13 2640s25
259U17 Skip_Line 314s16 321s16 803b17 810l11 810t20 922s10 946s13 1016s13
. 1061s16 1070s10 1235s16 1247s13 1269s16 1430s19 1549s19 1585s13 1601s13
. 1634s13 1990s16 2084s16 2172s16 2237s13 2272s13 2708s25
262U17 Skip_Space 496s10 600s10 629s10 734s13 776s10 816b17 821l11 821t21
. 926s10 950s13 963s13 970s16 978s16 986s16 994s16 1079s13 1438s16 1587s13
. 1611s16 1645s16 1648s16 1678s10 1787s13 1994s16 2023s22 2088s16 2241s13
. 2276s13 2293s13 2337s16 2353s19 2423s13 2553s19 2637s22
265U17 Skipc 729s19 827b17 832l11 832t16 1338s28 1403s34 1535s28 2510s22
. 2519s25 2670s28 2674s28 2679s28
350i10 Ptr1{48|144I9} 395m10 396r16 397r24 398r24 400m13 400r21 415r18 430r16
. 431r19 437m13 437r21
351i10 Ptr2{48|144I9} 415m10 417r16 418r24 419r24 421r23 422m13 422r21
352i10 Col{48|59I9} 362r29 367m16 367r23 414m10 428m10
354U20 Wchar 354>27 357b20 361s19 369l14 369t19 421s13 432s16 434s16 440s10
354e27 C{character} 357b27 359r16 366r28
465i10 F{17|155I9} 468m10 479r36
492e10 Char{character} 506m10 510r36 520m16 522r19 527m22 529r25 536r41
597i10 V{48|62I12} 609m10 611m13 611r18 617r17
625a10 T{48|607A9} 642m13 643m13 652r28 653m13 656r17
626i10 Start{integer} 644m13 649m13 652r19
652i14 J{integer} 653r16
672i10 N{48|62I12} 693m16 696r40 698m19 703r35
714i16 Nested_Brackets{natural} 720m25 720r44 722m25 722r44 724r28
850i14 J{integer} 851r33
859i14 J{integer} 860r42 861r35
929i14 J{integer} 932r34 933r40
1011l7 A_Loop 1013r15 1050l16 1050e22
1254q10 Scan_Restrictions 1578l14 1578e31
1255r13 Save_R{33|308R9[22|49]} 1564r46
1258X13 Bad_R_Line 1329r37 1336r34 1412r37 1416r34 1422r31 1426r28 1458r28
. 1488r31 1542r28 1547r25 1557r18
1261e13 Typ{character} 1275m19 1318r30 1343r30
1262e13 R{33|85E9[22|49]} 1292m22 1293r28 1294r50 1298m25 1298r51 1313r24
. 1320r70 1322r65 1325r65 1326r60 1348r65 1349r67 1351r63 1352r65 1354r70
. 1356r63 1357r65 1363r70 1365r65 1366r67 1370r34 1371r65 1373r70 1382r68
. 1383r70 1393r71 1395r73 1404r72 1406r67
1263i13 N{natural} 1341m25 1349r73 1354r74 1357r71 1366r73 1373r74 1383r75
1289a22 RN{string} 1294r55
1311L21 R_Found 1295r33
1429L18 Done_With_Restriction_Line 1308r27
1442e20 R{33|232E12[22|49]} 1447r61 1448r56 1451r56 1452r51
1464e20 RP{33|250E12[22|49]} 1470r59 1475r64 1477r60 1478r62 1480r67 1482r60
. 1483r62 1499r61 1500r56 1506r61 1508r28 1509r59 1511r64 1518r62 1519r64
. 1528r65 1530r67 1536r66 1537r61
1473i28 N{integer} 1475r71 1480r72 1483r69
1503i25 N{integer} 1506r68 1511r69 1519r70
1605i16 Int_Num{48|62I12} 1610m16 1616r38
1606e16 I_State{character} 1612m16 1617r38
1607i16 Line_No{48|62I12} 1613m16 1618r38
1638e16 Policy{character} 1647m16 1654r41
1639i16 First_Prio{48|62I12} 1649m16 1655r41
1640i16 Last_Prio{48|62I12} 1650m16 1656r41
1641i16 Line_No{48|62I12} 1651m16 1657r41
1671l7 U_Loop 1673r15 2203l16 2203e22
1686r13 UL{5|259R9} 1689r13 1690r13 1691r13 1692r13 1693r13 1694r13 1695r13
. 1696r13 1697r13 1698r13 1699r13 1700r13 1701r13 1702r13 1703r13 1704r13
. 1705r13 1706r13 1707r13 1708r13 1709r13 1710r13 1711r13 1712r13 1713r13
. 1714r13 1715r13 1716r13 1717r13 1723r33 1725r28
1733i13 Info{48|59I9} 1736r16 1738r46 1770r58 1772r61
1796i20 J{integer} 1798r53
1985l10 With_Loop 1987r18 2070l19 2070e28
2079l10 Linker_Options_Loop 2081r18 2145l19 2145e38
2103i25 V{natural} 2106m25 2111m31 2111r36 2116m31 2116r36 2127r65
2107i29 J{integer}
2167l10 Notes_Loop 2169r18 2202l19 2202e29
2232l7 E_Loop 2234r15 2261l16 2261e22
2267l7 D_Loop 2269r15 2376l16 2376e22
2296i16 Ctr{natural} 2300m16 2304r44 2318m19 2318r26 2322r19
2297m16 Chk{48|68M9} 2301m16 2307m22 2307r29 2311m22 2311r29 2323r54
2401l7 X_Loop 2403r15 2726l16 2726e22
2409q10 Read_Refs_For_One_File 2723l14 2723e36
2410r13 XS{5|813R9} 2417r13 2418r13 2419r13 2421r33 2532r39 2721r13
2413i13 Current_File_Num{5|61I9} 2421m13 2448r50 2514r45 2532m19 2573r28
. 2656m28 2660r43
2433q16 Read_Refs_For_One_Entity 2716l20 2716e44
2434r19 XE{5|851R9} 2492r19 2493r19 2494r19 2498r25 2500r25 2502r25 2505r19
. 2514r25 2515r25 2517r25 2520r25 2528r22 2529r22 2538r22 2544r22 2549r22
. 2550r22 2555r19 2556r19 2557r19 2558r19 2579r28 2580r28 2581r28 2586r59
. 2609r36 2624r28 2625r28 2626r28 2627r28 2628r28 2629r28 2696r19
2436i19 N{48|62I12} 2458m25 2462r39 2465m28 2471r37 2511m22 2515r45 2518r36
. 2651m25 2655r39 2658m28 2663r37
2438U29 Read_Instantiation_Reference 2447b29 2479s28 2487l23 2487t51 2689s28
2448i22 Local_File_Num{5|61I9} 2463m28 2468r43
2454r25 XR{5|955R9} 2461r28 2463r46 2468r28 2471r25 2472r25 2473r25
2564e25 Ref{5|838E9} 2573m46 2574r35 2578r28 2586r31 2607r31 2624r55
2565i25 File{5|61I9} 2573m51 2579r48 2595r46 2616r46 2625r55
2566i25 Line{48|62I12} 2573m57 2580r48 2596r46 2617r46 2626r55
2567e25 Typ{character} 2573m63 2578r56 2608r36 2627r55
2568i25 Col{48|62I12} 2573m68 2581r48 2598r46 2619r46 2628r55
2569i25 Std{17|155I9} 2573m73 2599r46 2620r46 2629r55
2593r31 XR{5|955R9} 2595r31 2596r31 2597r31 2598r31 2599r31
2614r31 XR{5|955R9} 2616r31 2617r31 2618r31 2619r31 2620r31
2648r25 XR{5|955R9} 2654r28 2656r48 2660r28 2663r25 2664r25 2671r28 2676r28
. 2682r28 2683r28 2686r25
2756m7 H{48|68M9} 2759m7 2761m10 2761r15 2764r45
2760i11 J{integer} 2761r41
X 8 butil.ads
28K9*Butil 6|26w6 26r18 8|54e10
32V13*Is_Predefined_Unit{boolean} 6|1690s44
38V13*Is_Internal_Unit{boolean} 6|1691s44
50U14*Write_Unit_Name 6|1723s16 1763s19 1770s19
X 9 casing.ads
34K9*Casing 5|30w6 30r19 9|89e11
47E9*Casing_Type 5|342r17 347r17 9|62e5
49n7*All_Upper_Case{47E9} 6|1869r55 1884r55
52n7*All_Lower_Case{47E9} 6|1704r44 1864r55
55n7*Mixed_Case{47E9} 6|1703r44 1882r55
X 10 debug.ads
36K9*Debug 6|27w6 27r18 10|188e10
71b4*Debug_Flag_U{boolean} 6|1719r16
74b4*Debug_Flag_X{boolean} 6|2395r36
X 11 fname.ads
38K9*Fname 6|28w6 28r18 11|99e10
82V13*Is_Internal_File_Name{boolean} 6|2159s15
X 12 gnat.ads
34K9*GNAT 5|37r6 37r23 12|37e9
X 13 g-htable.ads
46K14*HTable 5|37w11 37r28 13|60e16
55k20*Simple_HTable 5|706r31
X 14 gnatvsn.ads
35K9*Gnatvsn 5|31w6 31r19 14|98e12
73N4*Ver_Len_Max 5|101r26 6|929r24
X 17 namet.ads
38K9*Namet 5|32w6 32r19 17|609e10
127a4*Name_Buffer{string} 6|476m39 476r39 549r22 551r41 1035r24 1036r24 1044r51
. 1283m22 1289r42 2223r13 2256r42
133i4*Name_Len{natural} 6|476r57 495m10 550r32 551r54 1023m13 1034r16 1036r42
. 1044r69 1280m19 1282m22 1282r34 1283r35 1289r60 2077m10 2149r13 2190m19
. 2223r26 2243m13 2244m13 2256r60 2342m19 2362m19
155I9*Name_Id<integer> 5|620r14 668r21 725r21 771r22 865r16 912r30 981r14
. 993r23 994r23 6|206r52 252r32 465r14 490r52 670r33 2569r32
159i4*No_Name{155I9} 5|981r25 993r34 994r34 6|706r35 743r32 2185r59 2331r52
. 2682r48 2683r48
163i4*Error_Name{155I9} 6|500r23 514r29
242U14*Get_Name_String 6|2221s10
298V13*Get_Name_Table_Info{48|59I9} 6|1733s36
336V13*Name_Find{155I9} 6|477s20 580s17 2351s58
346V13*Name_Enter{155I9} 6|2153s15 2195s59 2368s51
405U14*Add_Char_To_Name_Buffer 6|536s16 544s16 1025s16 2127s25 2136s22 2140s16
. 2192s22 2253s16 2344s22 2365s22
428U14*Set_Name_Table_Info 6|75s10 79s10 869s7 1781s10
461U14*Write_Name 6|380s10 1725s16
469U14*Write_Name_Decoded 6|1765s19 1772s19
482I9*File_Name_Type<155I9> 5|90r15 93r25 96r15 267r15 549r15 552r15 756r15
. 774r15 817r19 1014r26 6|129r26 191r51 463r51 479r20
487i4*No_File{482I9} 6|894r41 2009r53 2010r53
507I9*Unit_Name_Type<155I9> 5|264r15 546r15 6|237r37 587r37 589r17
X 19 opt.ads
50K9*Opt 6|29w6 29r18 1162r19 19|2139e8
387b4*Configurable_Run_Time_Mode{boolean} 6|1128m19
447b4*Detect_Blocking{boolean} 6|1092m16
1039b4*No_Run_Time_Mode{boolean} 6|1127m19
1261b4*Sec_Stack_Used{boolean} 6|1162m23
X 20 osint.ads
44K9*Osint 6|30w6 30r18 20|782e10
83b4*File_Names_Case_Sensitive{boolean} 6|475r27
89U14*Canonical_Case_File_Name 6|476s13
588V13*Full_Object_File_Name{17|482I9} 6|889s41
649n7*E_Fatal{643E9} 6|443r24 1776r33
652U14*Exit_Program 6|443s10 1776s19
X 21 output.ads
44K9*Output 6|31w6 31r18 21|230e11
77U14*Set_Standard_Error 6|378s10 1758s19
106U14*Write_Char 6|366s16 407s13 411s13 1766s19 1773s19
113U14*Write_Eol 6|382s10 391s10 425s10 441s10 1726s16 1760s19 1767s19 1774s19
123U14*Write_Int 6|403s10 1721s16
130U14*Write_Str 6|379s10 381s10 384s10 404s10 427s10 1720s16 1722s16 1724s16
. 1759s19 1762s19 1764s19 1769s19 1771s19
X 22 rident.ads
49K9*Rident[33|75] 5|33w6 33r19
X 23 system.ads
64M9*Address
X 27 s-htable.ads
56I12 Header_Num 5|707r6
59+12 Element 5|708r6
62*7 No_Element{59+12} 5|709r6
66+12 Key 5|710r6
67V21 Hash{56I12} 5|711r6
68V21 Equal{boolean} 5|712r6
72U17*Set 6|2256s25[5|706]
76U17*Reset 6|100s19[5|706]
X 30 s-memory.ads
53V13*Alloc{23|64M9} 103i<c,__gnat_malloc>22
68U14*Free 104i<c,__gnat_free>22
76V13*Realloc{23|64M9} 105i<c,__gnat_realloc>22
X 33 s-rident.ads
85E9*Restriction_Id 6|1262r19[22|49] 1292r27[22|49] 1294r28[22|49] 1298r30[22|49]
216n7*Not_A_Restriction_Id{85E9[22|49]} 6|1293r33[22|49] 1421r27[22|49]
232E12*All_Boolean_Restrictions{85E9[22|49]} 6|1317r27[22|49] 1442r25[22|49]
250E12*All_Parameter_Restrictions{85E9[22|49]} 6|1334r27[22|49] 1464r26[22|49]
262E12*Checked_Max_Parameter_Restrictions{255E12[22|49]} 6|1370r39[22|49]
. 1508r34[22|49]
304A9*Restriction_Flags(boolean)<85E9[22|49]>
305A9*Restriction_Values(natural)<85E9[22|49]>
306A9*Parameter_Flags(boolean)<85E9[22|49]>
308R9*Restrictions_Info 5|203r22[22|49] 503r30[22|49] 6|1255r31[22|49]
309a7*Set{304A9[22|49]} 6|1325m60[22|49] 1326m55[22|49] 1348m60[22|49] 1351r58[22|49]
. 1356m58[22|49] 1451m51[22|49] 1452m46[22|49] 1470m54[22|49] 1477r55[22|49]
. 1482m55[22|49]
315a7*Value{305A9[22|49]} 6|1349m60[22|49] 1352m58[22|49] 1354r63[22|49]
. 1357m58[22|49] 1393m64[22|49] 1475m57[22|49] 1478m55[22|49] 1480r60[22|49]
. 1483m55[22|49] 1528m58[22|49]
322a7*Violated{304A9[22|49]} 6|1320m60[22|49] 1322m55[22|49] 1363m60[22|49]
. 1365m55[22|49] 1447m51[22|49] 1448m46[22|49] 1499m51[22|49] 1500m46[22|49]
327a7*Count{305A9[22|49]} 6|1366m60[22|49] 1371m58[22|49] 1373r63[22|49]
. 1382m61[22|49] 1383r63[22|49] 1506m54[22|49] 1509m52[22|49] 1511r57[22|49]
. 1518m55[22|49] 1519r57[22|49]
335a7*Unknown{306A9[22|49]} 6|1395m64[22|49] 1404m63[22|49] 1406m58[22|49]
. 1530m58[22|49] 1536m57[22|49] 1537m52[22|49]
349r4*No_Restrictions{308R9[22|49]} 5|503r51[22|49] 6|892r41[22|49] 1565r51[22|49]
X 45 table.ads
43K9*Table 5|34w6 230r24 386r25 414r36 448r40 577r25 599r24 641r34 679r25
. 729r27 785r24 827r32 938r31 998r24 45|238e10
47+12 Table_Component_Type 5|231r6 387r6 415r6 449r6 578r6 600r6 642r6 680r6
. 730r6 786r6 828r6 939r6 999r6
48I12 Table_Index_Type 5|232r6 388r6 416r6 450r6 579r6 601r6 643r6 681r6
. 731r6 787r6 829r6 940r6 1000r6
50*7 Table_Low_Bound{48I12} 5|233r6 389r6 417r6 451r6 580r6 602r6 644r6 682r6
. 732r6 788r6 830r6 941r6 1001r6
51i7 Table_Initial{48|65I12} 5|234r6 390r6 418r6 452r6 581r6 603r6 645r6
. 683r6 733r6 789r6 831r6 942r6 1002r6
52i7 Table_Increment{48|62I12} 5|235r6 391r6 419r6 453r6 582r6 604r6 646r6
. 684r6 734r6 790r6 832r6 943r6 1003r6
53s7 Table_Name{string} 5|236r6 392r6 420r6 454r6 583r6 605r6 647r6 685r6
. 735r6 791r6 833r6 944r6 1004r6
55k12*Table 5|230r30 386r31 414r42 448r46 577r31 599r30 641r40 679r31 729r33
. 785r30 827r38 938r37 998r30 45|237e13
106A12*Table_Type(5|259R9)<5|52I9>
109A15*Big_Table_Type{106A12[5|577]}<5|55I9>
117P12*Table_Ptr(109A15[5|230])
121p7*Table{117P12[5|230]} 6|75r36[5|230] 79r37[5|386] 85r21[5|599] 871r12[5|230]
. 932r18[5|230] 933r18[5|230] 955r21[5|230] 957r21[5|230] 967r24[5|230] 975r24[5|230]
. 983r24[5|230] 991r24[5|230] 998r21[5|230] 1044r18[5|599] 1086r21[5|230]
. 1098r21[5|230] 1105r21[5|230] 1111r21[5|230] 1121r24[5|230] 1133r24[5|230]
. 1147r21[5|230] 1157r24[5|230] 1174r21[5|230] 1190r24[5|230] 1204r24[5|230]
. 1320r36[5|230] 1325r36[5|230] 1348r36[5|230] 1349r36[5|230] 1363r36[5|230]
. 1366r36[5|230] 1404r39[5|230] 1447r27[5|230] 1451r27[5|230] 1470r30[5|230]
. 1475r33[5|230] 1499r27[5|230] 1506r30[5|230] 1536r33[5|230] 1565r24[5|230]
. 1620r21[5|230] 1659r21[5|230] 1681r18[5|230] 1682r18[5|230] 1686r44[5|386]
. 1712r49[5|230] 1734r45[5|386] 1737r30[5|386] 1738r30[5|386] 1763r42[5|386]
. 1765r45[5|386] 1770r42[5|386] 1772r45[5|386] 1782r19[5|386] 1794r22[5|386]
. 1798r25[5|386] 1808r25[5|386] 1812r25[5|386] 1825r25[5|386] 1837r25[5|386]
. 1839r25[5|386] 1853r25[5|386] 1864r25[5|386] 1866r25[5|386] 1869r25[5|386]
. 1882r25[5|386] 1884r25[5|386] 1897r25[5|386] 1909r25[5|386] 1911r25[5|386]
. 1913r25[5|386] 1915r25[5|386] 1928r25[5|386] 1941r25[5|386] 1943r25[5|386]
. 1945r25[5|386] 1956r25[5|386] 1958r25[5|386] 1975r23[5|386] 1976r31[5|386]
. 1996r22[5|577] 1997r22[5|577] 1998r22[5|577] 1999r22[5|577] 2000r22[5|577]
. 2001r22[5|577] 2002r22[5|577] 2003r22[5|577] 2009r25[5|577] 2010r25[5|577]
. 2015r25[5|577] 2017r25[5|577] 2033r34[5|577] 2041r34[5|577] 2046r34[5|577]
. 2055r37[5|577] 2072r16[5|386] 2073r16[5|386] 2152r28[5|641] 2155r28[5|641]
. 2158r28[5|641] 2161r28[5|641] 2178r22[5|679] 2179r22[5|679] 2181r22[5|679]
. 2182r22[5|679] 2185r25[5|679] 2195r25[5|679] 2207r12[5|230] 2208r12[5|230]
. 2208r38[5|386] 2208r50[5|230] 2212r15[5|230] 2212r45[5|230] 2213r16[5|386]
. 2213r28[5|230] 2214r16[5|386] 2214r28[5|230] 2221r33[5|386] 2224r19[5|386]
. 2226r19[5|386] 2265r12[5|230] 2284r18[5|785] 2287r18[5|785] 2288r18[5|785]
. 2289r21[5|785] 2323r24[5|785] 2331r18[5|785] 2332r18[5|785] 2333r20[5|785]
. 2334r18[5|785] 2351r24[5|785] 2359r24[5|785] 2368r24[5|785] 2378r12[5|230]
. 2411r33[5|827] 2435r38[5|938] 2454r55[5|998] 2593r61[5|998] 2614r61[5|998]
. 2648r55[5|998]
138U17*Init 6|90s12[5|230] 91s15[5|729] 92s13[5|386] 93s13[5|577] 94s12[5|785]
. 95s22[5|641] 96s13[5|679] 97s20[5|827] 98s19[5|938] 99s12[5|998]
145V16*Last{5|49I9} 6|74s35[5|230] 78s37[5|386] 84s35[5|599] 837s32[5|785]
. 868s18[5|230] 874s58[5|414] 876s62[5|448] 879s58[5|414] 881s62[5|448] 1009s25[5|599]
. 1044s30[5|599] 1620s73[5|414] 1660s39[5|448] 1682s49[5|386] 1686s57[5|386]
. 1709s50[5|577] 1721s38[5|386] 1734s58[5|386] 1737s43[5|386] 1763s55[5|386]
. 1765s58[5|386] 1782s32[5|386] 1782s56[5|386] 1794s35[5|386] 1798s38[5|386]
. 1808s38[5|386] 1812s38[5|386] 1825s38[5|386] 1837s38[5|386] 1839s38[5|386]
. 1853s38[5|386] 1864s38[5|386] 1866s38[5|386] 1869s38[5|386] 1882s38[5|386]
. 1884s38[5|386] 1897s38[5|386] 1909s38[5|386] 1911s38[5|386] 1913s38[5|386]
. 1915s38[5|386] 1928s38[5|386] 1941s38[5|386] 1943s38[5|386] 1945s38[5|386]
. 1956s38[5|386] 1958s38[5|386] 1975s36[5|386] 1976s44[5|386] 1996s35[5|577]
. 1997s35[5|577] 1998s35[5|577] 1999s35[5|577] 2000s35[5|577] 2001s35[5|577]
. 2002s35[5|577] 2003s35[5|577] 2009s38[5|577] 2010s38[5|577] 2015s38[5|577]
. 2017s38[5|577] 2033s47[5|577] 2041s47[5|577] 2046s47[5|577] 2055s50[5|577]
. 2072s29[5|386] 2072s54[5|577] 2073s29[5|386] 2073s53[5|599] 2152s50[5|641]
. 2155s50[5|641] 2156s21[5|386] 2158s50[5|641] 2161s50[5|641] 2162s30[5|641]
. 2178s35[5|679] 2179s35[5|679] 2181s35[5|679] 2182s35[5|679] 2182s62[5|386]
. 2185s38[5|679] 2195s38[5|679] 2207s42[5|386] 2221s46[5|386] 2224s32[5|386]
. 2226s32[5|386] 2265s42[5|785] 2284s30[5|785] 2287s30[5|785] 2288s30[5|785]
. 2289s33[5|785] 2323s36[5|785] 2331s30[5|785] 2332s30[5|785] 2333s32[5|785]
. 2334s30[5|785] 2351s36[5|785] 2359s36[5|785] 2368s36[5|785] 2378s41[5|785]
. 2411s53[5|827] 2419s44[5|938] 2435s57[5|938] 2454s67[5|998] 2558s44[5|998]
. 2593s73[5|998] 2614s73[5|998] 2648s67[5|998] 2696s40[5|998] 2721s43[5|938]
162i7*First{5|49I9} 6|74r21[5|230] 78r22[5|386] 84r21[5|599]
174U17*Increment_Last 6|104s22[5|641] 105s13[5|679] 867s12[5|230] 1043s18[5|599]
. 1679s16[5|386] 1995s22[5|577] 2150s28[5|641] 2177s22[5|679] 2277s18[5|785]
. 2407s23[5|827] 2431s28[5|938] 2451s27[5|998] 2590s33[5|998] 2611s33[5|998]
. 2645s27[5|998]
178U17*Decrement_Last 6|2707s37[5|938]
182U17*Append 6|1588s21[5|729] 1615s33[5|414] 1653s37[5|448]
X 48 types.ads
52K9*Types 5|35w6 35r19 48|885e10
59I9*Int<integer> 5|128r23 134r18 140r26 224r32 227r27 6|352r17 403r21 869r31
. 1721r27 1733r29 1782r45
62I12*Nat{59I9} 5|404r22 410r24 436r28 440r28 444r25 659r21 662r20 778r20
. 820r22 823r21 829r30 873r19 878r19 882r18 896r19 907r18 924r19 925r19 929r20
. 932r19 940r30 961r14 978r13 1000r30 6|230r31 249r32 251r32 596r31 597r14
. 667r33 669r33 672r14 696r44 1605r26 1607r26 1639r29 1640r29 1641r29 2417r51
. 2436r24 2462r43 2518r40 2566r32 2568r32 2655r43
65I12*Pos{59I9} 5|852r14 859r13
68M9*Word 5|763r18 6|2297r22 2756r11
91e4*EOF{character} 6|284r25 317r23 754r20 790r46 1058r20 1232r20 2382r14
. 2430r42
113P9*String_Ptr(string) 5|600r30 700r24 703r27 710r20 6|2746r27 2755r24
116U14*Free[50|20] 6|85s10
144I9*Text_Ptr<59I9> 6|139r19 350r17 351r17
147A9*Text_Buffer(character)<144I9>
150P9*Text_Buffer_Ptr(147A9) 5|1015r26 6|130r26
161I9*Logical_Line_Number<integer> 6|140r19
607A9*Time_Stamp_Type<string><integer> 5|759r15 6|234r33 624r33 625r18
616a4*Dummy_Time_Stamp{607A9} 6|633r23 2289r47
620V14*"="{boolean} 6|2289s45